uvm config_db/config文件

config文件:

`ifndef xxx_CFG_SV
`define xxx_CFG_SV
class xxx_cfg extends uvm_object;
	`uvm_object_utils(layer_agent_cfg)

	bit is_active = 1;
  //virtual rst_if vif;
	//string REPORT_TAG = "XXX_CFG"
	function new(string name="xxx_cfg");
		super.new(name);
	endfunction
endclass
`endif

传递config class

env中set

xxx_cfg cfg;  //声明
//build_phase
cfg = xxx_cfg::type_id::create("cfg");
cfg.is_active = 1;

uvm_config_db#(xxx_cfg)::set(this, "agt*","cfg",cfg);
//uvm_config_db#(xxx_cfg)::set(this, "agt*.*","cfg",cfg);  //报错,不要使用

agent中get

//agent build_phase
if(!uvm_config_db#(xxx_cfg)::get(this,"","cfg",cfg))
		`uvm_error(get_type_name(),"do not get config")
if(cfg.is_active == 1) begin
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值