浅谈芯片验证中的仿真运行之 命名空间

我们知道,SV语言和C++ 语言有相似地方,C++ 里面比较经典的一些特性,当然SV 要借鉴过来。本章节,讲述SV 里面的命名空间问题。对于简单的验证环境而言,或许不用怎么介意,但是相对于大型设计的验证环境而言,了解这个问题,能够很好的帮助我们搭建成熟、稳定的TB 环境。

根据IEEE 1800-2017 Standard for Systemverilog 参考书,SystemVerilog共有8个命名空间,其中两个为整体全局,两个为编译单元域内全局,剩余四个为局部,具体描述分别如下:

英文名字 中文名字 范围
definitions name space 定义命名空间 全局
package name space 包命名空间 全局
compilation unit name space 编译单元域命名空间 某编译单元域
text macro name space 文本宏命名空间 某编译单元域
module name space 模块命名空间 局部
block name space 块命名空间 局部
port name space 端口命名空间 局部
attribute name space 属性命名空间</
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值