17.1 Display system tasks

105 篇文章 14 订阅

系统任务的显示组分为三类:显示和写入任务、选通监视任务和连续监视任务。
17.1.1 The display and write tasks
$display和$write系统任务的语法如语法17-1所示。

display_tasks ::=
    display_task_name [ ( list_of_arguments ) ] ;
display_task_name ::=
    $display | $displayb | $displayo | $displayh
    | $write | $writeb | $writeo | $writeh

17-1  Syntax for $display and $write system tasks

        这些是显示信息的主要系统任务例程。这两组任务完全相同,只是$display会自动在输出末尾添加换行符,而$write任务则不会$display和$write任务显示其参数的顺序与它们在参数列表中显示的顺序相同。每个参数可以是带引号的字符串、返回值的表达式或空参数。除非插入某些转义序列以显示特殊字符或指定后续表达式的显示格式,否则字符串参数的内容将按字面形式输出。
        转义序列以三种方式插入字符串:
特殊字符\表示后面的字符是文字字符或不可打印字符(见表17-1)。
—特殊字符%表示下一个字符应被解释为格式规范,该规范为后续表达式参数建立显示格式(见表17-2)。对于字符串中出现的每个%字符(%m和%%除外),应在字符串后面提供相应的表达式参数。
特殊字符串%%表示百分号字符%的显示(见表17-1)。任何空参数都会在显示中产生一个空格字符。(空参数由参数列表中的两个相邻逗号表示。)在没有参数的情况下调用$display任务时,只需打印一个换行符。没有参数的$write任务根本不会打印任何内容

17.1.1.1 Escape sequences for special characters(特殊字符的转义序列)

当包含在字符串参数中时,表17-1中给出的转义序列会显示特殊字符。

参数描述
\n换行符
\t制表符
\\\字符
\"“字符
\ddd以1–3个八进制数字(0≤d≤7)指定的字符。
如果使用的字符少于三个,则以下字符不得为八进制数字。
如果表示的字符大于\377,则实现可能会发出错误。
%%%字符

表17-1-打印特殊字符的转义序列

例如:

module disp;
initial begin
$display("\\\t\\\n\"\123");
end
endmodule

模拟该示例应显示以下内容:
\    \
"S

17.1.1.2 Format specifications

        表17-2显示了用于格式规范的转义序列。当包含在字符串参数中时,每个转义序列指定后续表达式的显示格式。对于字符串中出现的每一个%字符(%m和%%除外),参数列表中的字符串后面都应该有一个相应的表达式。显示字符串时,表达式的值将替换格式规范。
        任何没有相应格式规范的表达式参数都使用$display和$write中的默认十进制格式、$displayb和$writeb中的二进制格式、$displayo和$swriteo中的八进制格式以及$displayh和$writeh中的十六进制格式显示。

参数描述
%h or %H显示16进制格式
%d or %D显示10进制 格式
%o or %O显示8进制格式
%b or %B显示二进制格式
%c or %C以ASCII字符格式显示
%l or %L显示库绑定信息
%v or %V显示网络信号强度
%m or %M显示层次化名称
%s or %S显示为字符串
%t or %T以当前时间格式显示
%u or %U未格式化的2值数据
%z or %Z未格式化的4值数据

表17-2格式规范的转义序列

        格式化规范%l(或%L)是为显示特定模块的库信息而定义的。 该信息应显示为“library.cell”,与提取当前模块实例的库名称和当前模块实例单元名称相对应。 有关库和配置设计的信息,请参见第13条。
        格式化规范%u(或%U)是为写入不带格式(二进制值)的数据而定义的。应用程序应将指定数据的2值二进制表示传输到输出流。这个转义序列可以用于任何现有的显示系统任务,尽管$fwrite应该是首选的。
源中的任何未知或高阻抗位应视为零此格式说明符用于支持与没有x和z概念的外部程序之间的数据传输。鼓励需要保留x和z的应用程序使用%z I/O格式规范。数据应以底层系统的本端字节格式写入文件(即,按照与使用PLI和使用C语言write(2)系统调用相同的字节顺序)。数据应以32位为单位写入,首先写入包含LSB的字。格式化规范%z(或%z)是为写入不带格式(二进制值)的数据而定义的。应用程序应将指定数据的4值二进制表示传输到输出流。
        这个转义序列可以用于任何现有的显示系统任务,尽管$fwrite应该是首选的。此格式说明符用于支持与识别并支持x和z概念的外部程序之间的数据传输。不需要保留x和z的应用程序建议使用%u I/O格式规范。数据应以基础系统的原生端序格式写入文件[即,按照与使用PLI相同的端序顺序,数据采用s_vpi_vecval结构(参见27.14中的图27-8),并且使用C语言write(2)系统调用将结构写入磁盘]。数据应以32位为单位写入,结构包含先写入的LSB。

        表17-3中的格式规范与实数一起使用,并具有C语言中可用的全部格式功能。例如,格式规范%10.3g指定最小字段宽度为10,带3个小数位数。

参数描述
%e or %E以指数格式显示“实数”
%f or %F以十进制格式显示“实数”
%g or %G以指数或十进制格式显示“实数”,无论哪种格式都会缩短打印输出

表17-3:实数格式规范

        17.1.1.5至17.1.1.7中描述了网络信号强度、层次化名称和字符串格式规范。
        %t格式规范与$timeformat系统任务一起工作,以指定统一的时间单位、时间精度和格式,用于报告来自使用不同时间单位和精度的各种模块的计时信息。17.3.2中描述了$timeformat任务。
例如:

module disp;
reg [31:0] rval;
pulldown (pd);
initial begin
rval = 101;
$display("rval = %h hex %d decimal",rval,rval);
$display("rval = %o octal\nrval = %b bin",rval,rval);
$display("rval has %c ascii character value",rval);
$display("pd strength value is %v",pd);
$display("current scope is %m");
$display("%s is ascii value for 101",101);
$display("simulation time is %t", $time);
end
endmodule

仿真结果如下:

rval = 00000065 hex 101 decimal
rval = 00000000145 octal
rval = 00000000000000000000000001100101 bin
rval has e ascii character value
pd strength value is StX
current scope is disp
e is ascii value for 101
simulation time is 0

17.1.1.3 Size of displayed data
        对于表达式参数,写入输出文件(或终端)的值将自动调整大小。例如,当以十六进制格式显示时,12位表达式的结果将被分配三个字符,当以十进制格式显示时将被分配四个字符,因为表达式的最大可能值是FFF(十六进制)和4095(十进制)。
        显示十进制值时,前导零将被抑制并由空格替换
        在其他进制中,始终显示前导零。通过在%字符和表示基数的字母之间插入一个零,可以覆盖显示数据的自动大小,如下例所示:

module printval;
reg [11:0] r1;
initial begin
r1 = 10;
$display( "Printing with maximum size - :%d: :%h:", r1,r1 );
$display( "Printing with minimum size - :%0d: :%0h:", r1,r1 );
end
endmodule

显示结果如下:
Printing with maximum size - : 10: :00a:  (显示十进制值时,前导零将被抑制并由空格替换
Printing with minimum size - :10: :a:
        在此示例中,显示12位表达式的结果。对$display的第一次调用使用标准格式说明符语法,生成的结果需要分别为十进制和十六进制的四列和三列。第二个$display调用使用格式说明符语法的%0形式,并生成分别需要两列和一列的结果。

17.1.1.4 Unknown and high-impedance values
        当表达式的结果包含未知或高阻抗值时,某些规则适用于显示该值。
        十进制(%d)格式的规则如下:
如果所有位都处于未知值,则显示单个小写x字符
如果所有位都处于高阻抗值,则显示单个小写z字符
如果某些(但不是全部)位处于未知值,则显示大写的X字符
如果一些(但不是全部)位处于高阻抗值,则显示大写Z字符,除非也有一些位处于未知值,在这种情况下显示大写X字符
十进制数字在固定宽度字段中总是右对齐
        在十六进制(%h)和八进制(%o)格式中,规则如下:
每组4位表示为单个十六进制数字;每组3位表示为单个八进制数字
如果组中的所有位都处于未知值,则该数字将显示小写x
如果组中的所有位都处于高阻抗状态,则会为该数字打印小写的z
如果组中的某些位(但不是全部)未知,则该数字将显示大写X
如果组中的一些位(但不是所有位)处于高阻抗状态,则该数字将显示大写Z,除非还有一些位处于未知值,在这种情况下,该数字显示大写X。在二进制(%b)格式中,使用字符0、1、x和z分别打印每个位。例如下面的例子:

STATEMENT RESULT
$display("%d", 1'bx); x
$display("%h", 14'bx01010); xxXa
$display("%h %o", 12'b001xxx101x01,
12'b001xxx101x01); XXX 1x5X

17.1.1.5 Strength format
        %v格式规范用于显示标量网络的强度。对于字符串中出现的每一个%v规范,参数列表中的字符串后面都应跟随相应的标量引用。标量网络的强度以三个字符的格式报告。前两个字符表示强度。第三个字符表示标量的当前逻辑值,可以是表17-4中给出的任何一个值。

参数描述
0逻辑0
1逻辑1
X未知的值
Z高阻态值
L逻辑0或高阻态值
H逻辑1或高阻态值

        前两个字符(强度字符)是两个字母的助记符或一对十进制数字。通常,助记符用于指示强度信息;然而,在不太典型的情况下,可以使用一对十进制数字来指示强度级别的范围。表17-5显示了用于表示各种强度水平的助记符。
        有四个驱动强度和三个电荷存储强度。驱动强度与门输出和连续分配输出相关。电荷存储强度与trireg型网络相关。(强度建模见第7条。)
        对于逻辑值0和1,当信号中没有强度范围时,使用助记符。否则,逻辑值前面有两个十进制数字,表示最大和最小强度级别。

强度强度名称强度等级
SuSupply drive7
StStrong drive6
PuPull drive5
LaLarge capacitor4
WeWeak drive3
MeMedium capacitor2
SmSmall capacitor1
HiHigh impedance0

表17-5-强度等级的助记符

        对于未知值,当0和1强度分量处于相同强度级别时,使用助记符。 否则,未知值X前面有两个十进制数字,分别表示0和1强度级别。 高阻抗强度不能具有已知的逻辑值;该级别允许的唯一逻辑值是Z。 对于值L和H,助记符始终用于指示强度级别。例如:

always
#15 $display($time,,"group=%b signals=%v %v %v",{s1,s2,s3},s1,s2,s3);

下面的示例显示了这种调用可能产生的输出,而表17-6解释了输出中出现的各种强度格式。

0 group=111 signals=St1 Pu1 St1
15 group=011 signals=Pu0 Pu1 St1
30 group=0xz signals=520 PuH HiZ
45 group=0xx signals=Pu0 65X StX
60 group=000 signals=Me0 St0 St0
参数描述
St1强驱动1值
Pu0上拉驱动强1
HiZ高阻态
Me0中等电容强度的0电荷存储
StX强驱动的未知值
PuH

上拉驱动强度1或者高阻态值

65X具有强驱动0分量和上拉驱动1分量的未知值
520具有上拉驱动到中等电容器的可能强度范围的0值

表17-6-强度格式说明

17.1.1.6 Hierarchical name format(层次化命名格式)
        %m格式说明符不接受参数。相反,它使显示任务打印调用包含格式说明符的系统任务的模块、任务、函数或命名块的层次结构名称。当有许多调用系统任务的模块实例时,这很有用。一个明显的应用是触发器或锁存器模块中的定时检查消息;%m格式说明符应精确定位负责生成定时检查消息的模块实例。

17.1.1.7 String format(字符串格式)
        %s格式说明符用于将ASCII代码打印为字符。对于字符串中出现的每个%s规范,参数列表中的字符串后面都应该有相应的参数。相关参数被解释为8位十六进制ASCII码序列,每8位代表一个字符。如果参数是一个变量,其值应该右对齐,以便值的最右边的位是字符串中最后一个字符的最低有效位。字符串的结尾不需要终止字符或值,并且不会打印前导零

17.1.2 Strobed monitoring

$strobe系统任务的语法如语法17-2所示。

strobe_tasks ::=
    strobe_task_name [ ( list_of_arguments ) ] ;
strobe_task_name ::=
    $strobe | $strobeb | $strobeo | $strobeh

语法17-2-$strobe系统任务的语法

        系统任务$strobe提供在选定时间显示仿真数据的能力。该时间是当前仿真时间的结束时间,此时该仿真时间内的所有仿真事件都已发生,就在仿真时间提前之前。此任务的参数的指定方式与$display系统任务完全相同,包括特殊字符和格式规范的转义序列的使用(见17.1.1)。例如:

forever @(negedge clock)
    $strobe ("At time %d, data is %h",$time,data);

        在本例中,$strobe在时钟的每个负边沿将时间和数据信息写入标准输出和日志文件。该动作应在仿真时间提前之前发生,并在该时间发生所有其他事件之后发生,以确保写入的数据是该仿真时间的正确数据。

17.1.3 Continuous monitoring(连续监控)
$monitor系统任务的语法如语法17-3所示。

monitor_tasks ::=
    monitor_task_name [ ( list_of_arguments ) ] ;
    | $monitoron ;
    | $monitoroff ;
monitor_task_name ::=
    $monitor | $monitorb | $monitoro | $monitorh

语法17-3-$monitor系统任务的语法

        $monitor任务提供了监视和显示指定为任务参数的任何变量或表达式的值的能力。此任务的参数的指定方式与$display系统任务完全相同,包括特殊字符和格式规范的转义序列的使用(见17.1.1)。
        如果两个或多个参数同时更改值,则只生成一个显示新值的显示。一次只能激活一个$monitor显示列表;然而,在仿真过程中,可以多次发出带有新显示列表的新$monitor任务。
        $monitoron和$monitoroff任务控制启用和禁用监视的监视器标志使用$monitoroff关闭标志并禁用监视$monitoron系统任务可用于打开标志,以便启用监视,并且最近对$monitor的调用可以恢复显示。调用$monitoron后,无论值是否发生变化,都应立即显示;这用于在监控会话开始时建立初始值。默认情况下,监视器标志在仿真开始时打开。


$display 和$strobe 和$monitor的区别:
(1)当许多语句与 $display 任务在同一时间内执行时,这些语句和 $display 的执行顺序是不确定的,一般按照程序的顺序结构执行。两者的区别在于:$strobe命令会在当前时间部结束时完成;而$display是只要仿真器看到就会立即执行。例如:

`timescale 1ns/1ns
module test_tb();
 
reg [3:0]  a ;
initial begin
	$strobe("begin!");
	a = 1 ;
	#1 ;
	a <= a + 1 ;
	//第一次显示
	$display("$display excuting result: %d.", a);
	$strobe("$strobe excuting result: %d.", a);
	#1 ;
	$display();
	//第二次显示
	$display("$display excuting result: %d.", a);
	$strobe("$strobe excuting result: %d.", a);
	$strobe("end!");
end
 
endmodule 

运行结果如下:

 可以看到,$strobe与$display的打印内容不是一致的。这是因为该语句:    a <= a + 1 ;也就是
在第一次打印时,$display不会管a是阻塞赋值还是非阻塞赋值,它就直接打印a当前的值1。而$strobe则会等到非阻塞赋值完成后再打印,所以其打印的值为2。在第二次打印时,又延时了1ns,所以此时的非阻塞赋值完成,那么$strobe与$display的打印内容就均为2了。所以$strobe这个系统任务通常是用来打印当前非阻塞赋值的变量值的。
(2)$monitor 为监测任务,用于变量的持续监测。只要变量发生了变化,$monitor 就会打印显示出对应的信息。

`timescale 1ns/1ns
 
module test_tb();
 
reg [1:0]  a ;
reg [1:0]  b ;
reg [1:0]  c ;
 
initial begin
	a = 0 ;
	b = 0 ;
	c = 0 ;
 
	$monitor("a=%d b=%d c=%d",a,b,c);
	#50 $finish;	//50ns后停止
end
 
always #10 begin		//每10ns,随机生成a,b,c
	a = {$random}%4;
	b = {$random}%4;
	c = {$random}%4;
end
 
endmodule 

 (3) $display可以直接打印一条文本信息,并在每一次$display执行后会自动换行,$write的用法与$display一致,区别在于,一条$write语句执行完后,不会自动换行。

System.Threading.Tasks是一个命名空间,提供了用于创建和管理任务的类和方法。它的作用是通过任务的概念来解决线程处理的复杂问题。任务(Task)包含一个操作,以及依赖哪个任务的完成才能开始。在使用System.Threading.Tasks时,可以使用Task类来创建和执行任务,使用Task.ContinueWith方法来定义任务之间的依赖关系,使用Task.WaitAll方法来阻塞调用任务,直到等待的所有任务完成为止,使用Task.WhenAll方法返回一个任务,允许使用async关键字等待结果,而不会阻塞等待任务。此外,还可以使用Task.Delay方法来指定从这个方法返回的任务完成前要等待的毫秒数。\[2\]在使用System.Threading.Tasks时,可以使用async和await关键字来简化异步编程的代码,使代码更加清晰和易于理解。\[3\] #### 引用[.reference_title] - *1* [C#任务Task应用详解](https://blog.csdn.net/weixin_36609037/article/details/126541489)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [.NET 4.0 System.Threading.Tasks学习笔记](https://blog.csdn.net/weixin_34223655/article/details/85449464)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [System.Threading.Tasks](https://blog.csdn.net/weixin_30699831/article/details/96191950)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值