MRCC SRCC

MRCC:multile region clock cable
SRCC:single region clock cable

都是全局时钟资源。SRCC进来的时钟,虽然不能直接驱动其他clock region,但接到BUFG上之后,仍然可以通过BUFG来驱动其他的clock region。

7系列FPGA的时钟缓冲器分为全局时钟缓冲器和局部时钟缓冲器。

全局缓冲器BUFGCTRL可配置成BUFG、BUFGCE、BUFGMUX、BUFGMUX_CTRL。全局缓冲器可以将时钟路由到全部逻辑设计以及输入输出IO。

局部时钟缓冲器分为BUFH、BUFIO、BUFR、BUFMR。之所以叫局部时钟缓冲器,是因为这些缓冲器生成的时钟经局部时钟树可以到达特定的逻辑区域。

BUFG:直接把时钟信号路由到全局时钟树,可以全芯片使用,驱动能力强,但时钟质量略差,同时资源有限。(优先使用)

BUFH的输入时钟可来自同一时钟区域或相连时钟区域的MRCC、SRCC、CMT、BUFG或高速收发器的RXOUTCLK/TXOUTCLK。所谓的时钟区域指BUFH的输入时钟来自于X0Y0或X1Y0。BUFH的输出可连接到CMT的时钟端口或同一时钟区域内的BUFG可到达的端口。

     BUFH:把时钟信号路由到本时钟域和左右相邻两个时钟域,驱动能力仅次于BUFG,但时钟质量会更好,资源相对丰富(BUFG不够用时做补充使用,内部信号上树首选)

     BUFR:只能作用于本时钟域。其余基本和BUFH类似

     BUFMR:可以跨越上下两个时钟区域,其余特性和BUFR类似

     BUFIO:性能最佳,最适合高速信号,同时作用区域最小,只能作用于本IO BANK区域,适合做高速通信使用,一般搭配IN/OUT FIFO或serdese使用。(有高速需求优先)

————————————————
原文链接:https://blog.csdn.net/woreaixuexi123/article/details/131479475

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值