verilog testbench

目的:输入激励,进行分析校验
组成
时间标尺
信号类型
.v例化
编写输入信号驱动


`timescale 1ns /1ns
module testbench_name();
//时钟和复位
reg clk;
reg rst_n;
//输入
reg din;
//输出
wire dout;
parameter  CYCLE=20;//周期20ns
parameter RST_TIME = 3 ;//复位3个时钟周期 
 //例化
 module_name uut(
.clk(clk),
.rst_n(rst_n),
.din(din),
.dout(dout)
);
//时钟
initial begin
	clk=0;
	forever
	#(CYCLE/2)
	clk=~clk;
end
//复位
initial begin
	rst_n=1;
	#2;
	rst_n=0;
	#(CYCLE*RST_TIME);
	rst_n=1;
end
//输入信号din 赋值
initial begin
	#1;//提供建立时间
	din=0;//赋初值
	#(2*CYCLE);
	din=($randiom)%2;//赋值
end

endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值