基于Verilog以同步信号对跳变信号检测

在做FPGA硬件编程时,经常会遇到需要检测的上升沿信号。实际举例如下所示:
1.模块运行时钟为clk
2.需要检测的上升沿信号为flag_in
比较规范的写法如下:

reg flag1;
reg flag2;
wire flag_out;
assign flag_out = (!flag2) && flag1;
always@(posedge clk)begin
	if(rst==0)begin
		flag1 <= 1'b0;
		flag2 <= 1'b0;
	end
	else begin
		flag1 <= flag_in;
		flag2 <= flag1 ;
	end
end

verilog代码如上图所示:
1.当flag一直为0没有变化时,flag_out为0;
2.当flag一直为1没有变化时,flag_out为0;
3.当flag先1后0,即下降沿时,在紧接着的一个时钟周期内,flag1为0,flag2为1,则此时flag_out为0;
4.当flag先0后1,即上升沿时,在紧接着的一个时钟周期内,flag1为1,flag2为0,则此时flag_out为1。
实现目标

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值