HDLbits 刷题 -- Bcdadd4

You are provided with a BCD (binary-coded decimal) one-digit adder named bcd_fadd that adds two BCD digits and carry-in, and produces a sum and carry-out.

module bcd_fadd (
    input [3:0] a,
    input [3:0] b,
    input     cin,
    output   cout,
    output [3:0] sum );

Instantiate 4 copies of bcd_fadd to create a 4-digit BCD ripple-carry adder. Your adder should add two 4-digit BCD numbers (packed into 16-bit vectors) and a carry-in to produce a 4-digit sum and carry out.

译:

        为您提供了一个名为bcd_fadd的BCD(二进制编码的十进制)一位数加法器,它将两个BCD数字相加并带入,并生成一个总和和执行。

实例化4个bcd_fadd来创建一个4位BCD串行进位加法器。您的加法器应该将两个4位BCD数字(打包成16位向量)和一个进位相加,以产生一个4位和进位输出。
解法:

module top_module ( 
    input [15:0] a, b,
    input cin,
    output cout,
    output [15:0] sum );
    wire [3:0] cout_mid;
    bcd_fadd bcd_fadd1(a[3:0],b[3:0],cin,cout_mid[0],sum[3:0]);
    genvar i;
    generate 
        for(i=1;i<4;i++)begin:bcd_fadd_BDC
            bcd_fadd bcd_fadd2(a[(4*i+3):(4*i)],b[(4*i+3):(4*i)],cout_mid[i-1],cout_mid[i],sum[(4*i+3):(4*i)]);
        end:bcd_fadd_BDC
        assign cout=cout_mid[3];
    endgenerate
    
endmodule

分析:

        结合长度截取、循环、 多实例化等多点合一;

        如有更简单的解法,留言讨论;

运行结果:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

刚及格的陆拾伍

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值