DDR3 数据传输 (一)

本文详细介绍了如何在Vivado 2018.3中配置Xilinx的MIG IP核以实现DDR3 SDRAM控制器。从检索IP到Simulation Options,涵盖各配置页面的要点,如时钟频率、存储器类型、AXI参数和引脚选择,旨在简化DDR3存储器的控制并加速设计流程。
摘要由CSDN通过智能技术生成

文章目录

目录

前言

MIG IP核 配置

1.检索 IP

2.首页

 3.“Output Options”页

4.“Pin Compatible FPGAs”页

5.“Memory Selection”页

 6.“Controller Options”页

7.“AXI Parameter Options”页

 8.“AXI Parameter”页

 9.“Memory  Options”页

 10.“FPGA  Options”页

 11.“Extended FPGA Options”页

 12.“IO Planning Options”页

13.“Pin/Bank Selection”页

14. "System Signal Selection"页

15.“Summary”页 

 16.“Simulation Options”页

 17.“PCB information”页

18.“Design Notes”页

参考说明




前言

尽管FPGA的并行传输,可以让其数据传输的效率大大提高。由于FPGA自身时钟频率只能达到百兆赫兹级别,数据传输的速率相对来说来说还是有一些局限性,且内部的存储空间往往不能满足大数据容量需求。对于一些高速设计在数据存入、读出时会选择用DDR3 SDRAM 存储器。且目前DDR 存储器的应用范围很广泛。

而 DDR 存储器的控制是非常麻烦的。XILINX公司则为了加快用户产品开发速度,缩短开发周期,给出了 DDR 存储控制器 的 IP 可供用户配置使用。

本篇文章就MIG IP核的配置做详细阐述。

设计环境:VIVADO IDE 2018.3

IP 版本:v4.2

Xilinx FPGA芯片型号:xc7a35tfgg484-2



MIG IP核 配置


1.检索 IP

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值