vivado 增量编译

本文介绍了Vivado的增量编译功能,旨在在设计微小改变时重用综合和布局布线结果,缩短编译时间。通过设置Incremental Compile并引用dcp文件,当设计相似度超过95%时,适合使用增量编译。增量编译流程包括实现整个工程、设置增量编译选项,并在ISE中使用SmartGuide进行增量编译。
摘要由CSDN通过智能技术生成

vivado 增量编译的笔记

增量编译是VIVADO中的一项技术,即Incremental Compile,它是是Vivado提供的一项高阶功能。目的旨在当设计微小的改变时,重用综合和布局布线的结果,缩短编译时间。

增量编译的流程如下所示:
图片: Alt

增量编译需要已经编译完成的原始设计的dcp文件()作为参考,当我们在原始设计上做微小的改动时,就可以使用增量编译的流程。这些改动包括:

  • RTL代码的微小修改

  • 网表的微小修改,比如增加或者改变ILA

在Vivado里面,使能IncrementalCompile的方式非常简洁,在Impl run上右键选择“Set Incremental Compile…”,然后设置相应的参考dcp文件即可,在新版本的vivado中此设置已经变化,但路径基本相同,

注意:

  1. 当参考设计和当前设计的相似度大于95%的时候,最适合用增量编译技术

相似度低于75%时,工具会关闭增量编译

  1. 有些时候,即使很小的RTL改动,也会造成网表的巨大差异。比如

    a) 改变HDL中的某些全局常量

    b) 增加总线的位宽

ISE和VIVADO中增量编译的使用:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值