TFT_LCD液晶屏驱动设计与验证

35 篇文章 1 订阅

TFT_LCD液晶屏驱动设计与验证

在这里插入图片描述

注:在本实验工程中,输出信号中包含 HV 同步模式下需要的行、场同步信号(hsync、 vsync)和 DE 同步模式下的 tft_de 信号,各信号正确输出。读者若想要使用 HV 同步模式进行图像显示,可在代码中注释掉 tft_de 信号;若想要使用 DE 同步模式进行图像显示,可带代码中注释掉行、场同步信号。

`timescale 1ns / 1ps
module tft_ctrl(
        input wire tft_clk_9m,//输入时钟,频率9MHZ 
        input wire sys_rst_n,//系统复位,低电平有效 
        input wire [15:0] pix_data,//待显示数据 
        
        output wire [9:0] pix_x,//输出有效显示区域像素点x轴坐标 
        output wire [9:0] pix_y,//输出有效显示区域像素点y轴坐标 
        output wire [15:0] rgb_tft,//TFT显示数据 
        output wire  hsync,//TFT行同步信号 
        output wire  vsync,//TFT场同步信号 
        output wire  tft_clk,//TFT像素时钟 
        output wire  tft_de,//TFT数据使能 
        output wire  tft_bl  //TFT背光信号
    );

parameter  H_SYNC = 10'd41,    //行同步
            H_BACK =
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值