数据类型与顺序语句

本文介绍了VHDL中的数据类型,包括BIT和BIT_VECTOR、STD_LOGIC和STD_LOGIC_VECTOR、INTEGER、BOOLEAN、SIGNED和UNSIGNED,以及其他的预定义类型,重点探讨了这些类型在数字系统设计中的应用。
摘要由CSDN通过智能技术生成

数据类型与顺序语句

数据类型

VHDL要求设计实体中的每一个常数、信号、变量、函数以及设定的各种参量都必须具有确定的数据类型,
只要相同的数据类型的量才能互相传递和作用。

VHDL作为一种强类型语言主要表现在以下两方面
(1)VHDL程序中的任何数据对象都必须定义一个确定的数据类型,并由此限定此数据对象的取值范围。
(2)VHDL要求在信号赋值、算术运算。逻辑操作和数据比较等操作中,数据对象的数据类型是相同的,所谓数据类型匹配。

VHDL中的数据类型可以分成四大类:
	标量型(Scalar Type):包括实数类型、整数类型、枚举类型、时间类型。
	复合类型(Composite Type):可以由小的数据类型复合而成,如可由标量型复合而成。
													复合类型主要有数组型(Array)和记录型(Record).
	存取类型(Access Type):为给定数据类型的数据对象提供存取方式。
	文件类型(Files Type):用于提供多值存取类型。
这些数据类型有可分为现成程序包中可以随时获得的预定义数据类型和用户自定义类型两大类别。

BIT和BIT_VECTOR

位数据类型BIT的信号规定的取值范围是逻辑位‘1’和‘0’。
与其所对应的位矢量类型BIT_VECTOR是BIT的数组类型,用于限定矢量型数据类型的取值。

TYPE是数据类型定义语句。
BIT和BIT_VECTOR数据类型可以参与多种运算操作,如逻辑运算、关系运算、算术运算。
		SIGNAL X,Y :BIT;
		SIGNAL A,B : STD_LOGIC_VECTOR(3 DOWNTO 0);
		...
		B(2 DOWNTO 0) <=   X &
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值