ZYNQ学习--PL 的LED 点亮实验

PL 的"Hello World"LED 实验

参考文档《course_s1_ZYNQ那些事儿-FPGA实验篇V1.06》
Vivado版本 2018.3

一、创建工程

创建RTL工程,Target language选择“Verilog”,虽然选择 Verilog,但 VHDL 也可以使用,支持多语言
混合编程;设计时根据自己的板子选择器件型号。
在这里插入图片描述

二、编写代码

工程界面(项目设计流程也基本按照软件的流程进行);添加源代码创建Verilog文件后即可开始设计。
这里的IP catalog是Vivado提供的IP核,可以直接使用也可在BD中添加使用。
下面还有program and debug 用于生成bit文件下载程序调试等等
在这里插入图片描述

三、添加约束

3.1管脚约束(管脚位置和 I/O电平)

Vivado 使用的约束文件格式为 xdc 文件。xdc 文件里主要是完成管脚的约束,时钟的约束;可以通过
Window -> I/O Ports进行设置,也可以通过编写lxdc文件进行设置。这里我们需要对 led.v 程序中的
输入输出端口分配到 FPGA 的真实管脚上。

	 XDC 编写的语法,普通 IO 口只需约束引脚号和电压
	 	管脚约束如下:
		set_property PACKAGE_PIN "引脚编号" [get_ports “端口名称”] 
		电平信号的约束如下:
		set_property IOSTANDARD "电平标准" [get_ports “端口名称”]

3.2时钟约束

一个 FPGA 设计除了管脚分配以外,还有一个重要的约束,那就是时序约束,这里通过向导方式演示
如合进行一个时序约束。 综合"Run Sythesis"点击“Constraints Wizard”按照指引修改时钟频率。成功
添加后 xdc 文件中时钟约束将会加入文件中。

create_clock -period 20.000 -name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]

在这里插入图片描述

四、代码仿真

使用Vivado自带的软件进行仿真,编写好testbeach文件后,点击 Run Simulation 按钮,再选择
Run Behavioral Simulation。(在接下来的IP核学习中做进一步的展开说明)
在这里插入图片描述

五、下载验证

连接好开发板的 JTAG 接口,给开发板上电在“HARDWARE MANAGER”界面点击“Auto Connect”,自动连接设备可以看到 JTAG 扫描到 arm 和 FPGA 内核。
在这里插入图片描述
在这里插入图片描述

六、信号抓取ILA

Vivado 有内嵌的逻辑分析仪,叫做 ILA,可以用于在线观察内部信号的变化,对于调试有很大帮助。
点击 IP Catalog,在搜索框中搜索 ila,双击 ILA 的 IP。在要观测的文件中实例化ila。下载时添加bit和ltx文件。弹出的在线调试窗口出现添加的信号,点击运行便可以看到信号的数据
在这里插入图片描述 可以触发采集,在 Trigger Setup 窗口点击“+”,深度选择 timer_cnt 信号
在这里插入图片描述
本实验中设置好触发后再次点击运行,即可以看到触发成功(红标T),此时 timer_cnt 显示为十六进制,而 led 也在此时翻转。
在这里插入图片描述

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Zynq-7000是Xilinx推出的一款全新的可编程系统芯片(SoC),其核心特点是将ARM Cortex-A9处理器与可编程逻辑器件(FPGA)集成在一起。ZED是由Digilent和Avnet合作生产的一款基于Zynq-7000 SoC的开发板。 关于Zynq-7000-ZED的资料,您可以从以下几个方面进行了解和获取: 1. 官方文档:Xilinx官方网站提供了针对Zynq-7000 SoC的详细技术资料和应用指南,包括技术手册、用户指南、应用笔记等。这些资料涵盖了硬件设计、软件开发、调试和测试等方面的内容,非常全面详细。 2. 社区论坛:在Xilinx官方网站上,您可以加入或搜索Xilinx社区论坛。这个论坛是一个交流平台,可以与其他用户分享经验和解决问题。在论坛上,您可以找到很多关于使用Zynq-7000-ZED进行开发的实际案例和技术讨论。 3. 参考设计和开发板说明:Digilent和Avnet官方网站上提供了基于Zynq-7000-ZED开发板的一些参考设计和示例代码。这些参考设计涵盖了不同的应用领域,包括图像处理、通信、机器学习等。通过研究这些设计,您可以更好地了解如何使用Zynq-7000-ZED进行应用开发。 4. 在线教程和视频:在互联网上,有许多第三方的在线教程和视频可以帮助您快速入门Zynq-7000-ZED的开发。这些教程和视频通常以实际的项目为例,展示了如何进行硬件设计、软件开发和调试等过程。 总之,Zynq-7000-ZED的资料非常丰富,包括官方文档、社区论坛、参考设计、在线教程等多种渠道。只要您愿意花时间去研究和学习,就能够轻松掌握Zynq-7000-ZED的开发技术和应用方法。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

伊丽莎白鹅

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值