牛客网verilog VL15 优先编码器Ⅰ

`timescale 1ns/1ns

module encoder_83(
   input      [7:0]       I   ,
   input                  EI  ,
   
   output wire [2:0]      Y   ,
   output wire            GS  ,
   output wire            EO    
);
assign Y = (EI==1'b0)? 3'b000:
           (I[7]==1'b1)? 3'b111:
           (I[6]==1'b1)? 3'b110:
           (I[5]==1'b1)? 3'b101:
           (I[4]==1'b1)? 3'b100:
           (I[3]==1'b1)? 3'b011:
           (I[2]==1'b1)? 3'b010:
           (I[1]==1'b1)? 3'b001:
           (I[0]==1'b1)? 3'b000:3'b000;
assign EO = ((EI==1'b1) && (I == 8'b0))? 1'b1:1'b0;
assign GS = ((EI== 1'b0) || (EI==1'b1) && (I == 8'b0))? 1'b0 : 1'b1;


endmodule
//EI是否使能
//EO判断是否为0
//GS为是否按下按键
//注意assign的写法

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值