自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1275)
  • 资源 (27)
  • 收藏
  • 关注

原创 设计编程网站集:铸造手工(包括常说的翻砂铸造)

本文主要介绍金属铸造相关工艺,包括制造砂模、选择铸砂材料、形成腔型、砂模固化、金属浇筑、脱砂清理等步骤。其中介绍了各种铸砂材料,详细介绍了呋喃树脂砂的组成,介绍了高强度的金属成分。铸砂工艺可以用于铸造发动机缸体、船用螺旋桨、 巨型飞轮等。最后文中列出了参考书籍和相关专业书籍。

2024-07-19 21:33:11 619

原创 p2p、分布式,区块链笔记:libp2p通过libp2p_demo::network实现文件传递功能

主要依赖clap库进行命令行参数解析,使用async_std进行并行操作,使用libp2p_demo::network中的相关方法进行网络建立与文件传输,但是代码量却减少了很多,这是由于libp2p_demo::network对libp2p中的集群事件等相关方法,将文件传递功能进行了集成。

2024-07-07 10:00:00 382

原创 生物化学笔记:电阻抗基础+电化学阻抗谱EIS+电化学系统频率响应分析

阻抗通常用复数表示(这是一个二维表示),包括实部(用来表示电阻成分,对幅值的影响)和虚部(用来表示电感或电容成分,对相位的影响)。

2024-07-06 21:00:00 1873

原创 p2p、分布式,区块链笔记: 通过libp2p的Kademlia网络协议实现kv-store

以下这些函数或方法是根据 Kademlia 网络协议设计的,它们实现了基本的网络操作,包括获取数据记录、获取数据提供者、存储数据记录和开始提供数据等功能(这里只展示了项目中用到的函数,常用函数可以看。Kademlia 是一种分布式哈希表协议和算法,用于构建去中心化的对等网络,核心思想是通过分布式的网络结构来实现高效的数据查找和存储。,Kademlia 作为 libp2p 中的 NetworkBehaviour的组成。,更多函数可见如下图中的源码部分)。

2024-07-03 23:00:00 961

原创 Rust学习笔记007:Trait --- Rust的“接口”

定义trait,实现trait,#[derive(Debug)]的相关实现

2024-07-02 18:36:07 948

原创 电路笔记(PCB):电流容量(IPC-2221和IPC-2152)+阻抗匹配

IK×Tb×Ac这个公式用于估计PCB(Printed Circuit Board,印刷电路板)导线上的电流(I),其中T和A分别表示温度(Temperature)和导线截面积(Area),K,b,c为系数。: 这是PCB导线上的电流,单位安培(A)。: 这是导线的工作温度,摄氏度(°C)。: 这是导线的截面积,平方密耳(mil²)。相关系数:对于 IPC-2221 内层:K = 0.024,b = 0.44,c = 0.725。

2024-07-02 11:30:02 1031

原创 Rust学习笔记:Windows安装记录+Rust插件与Rust代码调试

crate(箱子)是 Rust 项目的一个编译单元。一个 crate 可以是一个库(library crate),也可以是一个可执行文件(binary crate)。每个 crate 都有一个唯一的名称,是带有函数的结构体(C语言结构体里不能有函数,所以可以说是。

2024-07-02 10:00:00 358

原创 电路笔记(三极管器件): MOSFET&IGBT

箭头指向G极的是N沟道。

2024-07-01 23:00:00 756

原创 Rust学习笔记 (命令行命令) : 用override set 设置工具链

这是由于Windows多使用MSVC(Microsoft Visual C++编译器,MSVC只支持Windows平台)而linux中多用GCC(GNU Compiler Collection,GCC可以跨平台,但是可能代码中存在特定于某个编译器的代码比如有。(无法运行“Ring v0.16.20”的自定义构建命令),在PowerShell命令行运行命令。感觉和CMake中的以下代码中的配置类似,其将编译器设置为了MSVC以进行代码生成。,然后才能执行对应的函数)

2024-07-01 22:00:03 357

原创 p2p、分布式,区块链笔记:试用ZeroTier组网

使用ZeroTier组网可以让你在不同地点的设备之间建立虚拟的局域网,从而可以像在同一网络内一样进行局域网游戏。以下是ZeroTier组网的流程。点击安装好的软件中的“Join networks”,将上边创建的网络ID输入,然后点击Join。登录进去点击Networks,然后“Create A Network”去官网https://www.zerotier.com/注册账号。在Download部分可以下载客户端。点击列表项目可在新页面进行属性编辑。去邮箱验证(用学校邮箱注册的)

2024-06-30 17:37:22 313

原创 电路笔记(电源模块): 基于FT2232HL实现的jtag下载器硬件+jtag的通信引脚说明

打开我的设备管理器,插入CH340会有端口出现,但是我焊接的板子插入没有任何显示。插那个fdti的jtag下载器应该会有一个usb c,两个com 7和com 8的接口显示。ESD有单向(A)和双向(C)之分,ESD5V0S1BA是双向的,焊接时不需要区分正负极性。然后我换了一个晶振还是又变为没有任何显示了,是FT2232的问题还是晶振的问题啊?DigilentFTDIConfig安装也没办法用(也是因为没办法识别硬件)买错封装了,应该是SOT89封装的,买的SOT-223-3L。晶振焊接不存在方向性。

2024-06-30 14:57:52 704

原创 p2p、分布式,区块链笔记: libp2p基础

【代码】p2p、分布式,区块链笔记: libp2p基础。

2024-06-29 23:00:00 772

原创 电路笔记(电源模块):TPS82130降压模块

12345678SS/TRPGFBVOUTENVINGNDVOUTThermalPad使能引脚,高电平启动。反馈参考引脚。连接到该引脚的外部电阻分压器对输出电压进行编程。电源开漏输出引脚。软启动和电压跟踪引脚。上拉电阻可以连接到任何低于6V的电压。如果不使用,请保持此引脚开路悬空。当设备被禁用时,通常连接400k欧的下拉电阻。输出引脚。输出引脚。接地引脚。输入引脚。连接到该引脚的外部电容器设置内部参考电压上升时间。

2024-06-27 21:00:00 727

原创 电路笔记(电源模块) :LM3481MM/NOPB升压模块,升压电路原理

电流模式控制:通过感应电阻(Rsense)检测电感电流。当开关(通常是MOSFET)导通时,电流流经电感和Rsense,产生一个与电流成比例的电压信号。比较输出电压与参考电压(Vref)的误差,并生成一个误差电压(Verr)。Verr与电流感应信号(Vsense)进行比较,决定PWM的占空比。这个比较结果决定了开关MOSFET的导通时间,从而调节输出电压。

2024-06-16 22:00:00 1529 3

原创 电路笔记 : 嘉立创EDA 导入、查找、设计管理器(快速寻找网络标签)功能+DRC错误检查和处理

嘉立创EDA 导入、查找、设计管理器(快速寻找网络标签)功能+DRC错误检查和处理。合理的线间距有助于减少信号串扰(cross-talk)和电磁干扰(EMI),提高信号完整性。较大的间距可以降低电压击穿和短路的风险,保证PCB在不同环境下的可靠性。适当的间距有助于散热,减少过热对电路的影响。大多数PCB制造商能够可靠地生产5 mil间距的走线和间隙。这是一个常见的标准,适合大多数消费级和工业级电子产品。在5 mil以上的间距,制造商可以确保线路的完整性和一致性。

2024-06-10 18:00:00 844

原创 fpga报错:line 36 Reference to vector reg ‘random_value‘ is not a legal net lvalue

【代码】fpga报错:line 36 Reference to vector reg ‘random_value‘ is not a legal net lvalue。

2024-06-06 19:00:00 175

原创 github有趣项目:自制“我的世界” project make

如果你在步骤1中安装了 clang 但问题仍然存在,可能是因为系统没有正确配置 clang 的符号链接。在这种情况下,你可能需要手动设置 clang 的符号链接。如果问题仍然存在,还可以检查 Makefile 文件,确保其中的编译器设置正确,即确保在编译过程中使用的是正确的编译器。如果你使用的是不同的 Linux 发行版,请使用相应的包管理器安装 clang。的符号链接设置为 clang 编译器。目录下的代码时出错。在终端中执行以下命令安装 clang 编译器。安装了 clang 编译器后,再次运行你的。

2024-05-31 19:53:55 359

原创 github有趣项目:自制“我的世界”

在图形编程中,特别是使用OpenGL或类似图形API的情况下,VAO(Vertex Array Object)和VBO(Vertex Buffer Object)是用于管理顶点数据的重要概念。"Minecraft"的分块系统是其成功的关键之一,它为玩家提供了庞大而丰富的世界,同时通过精巧的设计保证了游戏的性能和可扩展性。:在图集中,所有的纹理都在同一个大纹理中,减少了纹理切换的需求。这对于图形渲染中的状态切换来说是一项优化。:当纹理数据紧密打包在一起时,有助于提高纹理缓存的命中率,减少纹理读取的性能损失。

2024-05-31 19:53:34 802

原创 github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)

【代码】github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)

2024-05-31 15:52:36 975 2

原创 github有趣项目:备份维基百科kiwix

github有趣项目:备份维基百科kiwix

2024-05-31 09:00:00 391

原创 fpga系列 HDL: 06 (过程赋值的)非阻塞赋值实现变量交换

非阻塞赋值不会立即更新目标寄存器的值,而是将要更新的值排队,直到所有的右值都计算完毕后,才会在同一个时钟周期内同时更新目标寄存器的值。),它们不会立即执行,而是会在同一个时钟边沿的结束时同时生效。由于非阻塞赋值的同步性,这两个赋值不会互相干扰,最终在一个时钟周期内实现。:所有的非阻塞赋值将在同一个时钟边沿同时生效。因为这两条赋值语句使用的是非阻塞赋值 (

2024-05-27 23:30:00 484

原创 fpga系列 HDL 00 : 可编程逻辑器件原理

可编程元件(显示为保险丝,下图中的波浪线)将真实输入和补码输入连接到与门。这些与门(也称为乘积项,下图中的面包片)通过“或”(下图中最右侧的圆形三角形)运算在一起,形成乘积和逻辑阵列。编程:编程时,通过施加高电压(通常在12V左右)在控制栅和源极之间,使电子通过隧道效应被注入到浮栅上,从而改变浮栅的电荷状态,这会影响晶体管的阈值电压,从而表示存储的数据位。擦除:擦除时,通过施加反向高电压,使浮栅上的电荷逸出,恢复到未编程状态。

2024-05-27 19:42:32 1178

原创 fpga系列 HDL: 05 阻塞赋值(=)与非阻塞赋值(<=)

【代码】fpga系列 HDL: 05 阻塞赋值(=)与非阻塞赋值(<=)

2024-05-27 13:16:09 1052

原创 视频多模态模型: VideoChat、Video-LLaMA、Video-ChatGPT、Video-LLaVA等

以视频为中心的多模态对话系统通过使用开源视觉模型将视频内容文本化,将视频理解表述为自然语言处理 (NLP) 问答。引入了一种以视频为中心的多模态指令微调数据集。创建了一个独特的数据集,其中包含数千个视频,并配以详细的文本描述和对话,这些描述和对话使用密集的字幕按时间顺序提供给 ChatGPT。该数据集强调时空对象、动作、事件和因果关系,为训练以视频为中心的多模态对话系统提供了宝贵的资源。

2024-05-22 16:58:38 1176 2

原创 电路笔记 :元器件焊接相关 酒精灯松香浴加热取芯片

记录一下只使用松香和小火源加热(如酒精灯、小蜡烛)从电路板中取芯片

2024-05-22 14:54:41 335

原创 WORD、PPT技巧

用word接打开latex所生成的pdf,但是可能出现表格的格式混乱,以下给出解决办法。1.展示所有框线,这样可以看出哪些部分错乱:表设计=》边框=》所有框线。

2024-05-22 14:51:44 1139

原创 fpga系列 HDL:04 通过位移运算(led <= led<<1;)或调用译码器实现跑马灯

【代码】fpga系列 HDL:04 通过位移运算或调用译码器实现跑马灯。

2024-05-22 14:49:13 189

原创 fpga系列 HDL:03 Vivado 用时序逻辑电路设计计数器(posedge clk+reg [7:0] memory)

CKDQDQCK上升沿CKDQreg。

2024-05-22 14:48:52 364

原创 fpga系列 HDL:02 Vivado的基础使用

【代码】fpga系列 HDL:02 Vivado的基础使用。

2024-05-22 14:48:32 185

原创 fpga系列 HDL:ISE 安装笔记

安装时在92%卡住,当前步骤为version equalizer,在任务管理器中点击详细信息关闭xilperl.exe的子进程即可。修改完成之后,重新启动电脑,然后打开运行“CMD”;好像不用以上两步,再退出重装就行了。,修改ISE 14.7的快捷方式。在管理员命令窗口,我们输入。

2024-05-22 14:48:04 577

原创 fpga系列 HDL:01 Vivado Xilinx_Vivado_SDK_2018.3安装

fpga系列 HDL:01 Vivado Xilinx_Vivado_SDK_2018.3安装

2024-05-22 14:47:28 331

原创 fpga系列 硬件: XC9572XL核心板焊接与编程

从零开始焊接一个FPGA电路板,并实现基础的LED编程。中间踩坑较多(购买器件,比较器件价格,买错买漏器件和等待器件邮寄到,花费时间较多。且中间准备自己焊接JTAG烧录器,但是大概率是晶振焊接有问题,所以当前文章中使用的网上购买的JTAG)。实现的效果如下。XC9572XL: 3.3V ISP CPLD Family说明书AMD官方详细说明书xc9572中文资料.pdf。

2024-05-22 14:42:59 1357

原创 电路笔记 :芯片封装、电阻电容封装类型介绍

封装类型全称特点应用场景相关图示,3D展示小外形二级管)图示SOT小外形晶体管图示双列直插式封装引脚从芯片两侧引出,适合穿孔焊接传统封装形式,面包板、学习五一单片机等初学电子应用插针网格阵列封装有多个方针型插针,通过插入PGA插座安装适用于需要频繁插拔和更换芯片的场景,如测试、开发环境等SOP小外形封装相比DIP封装尺寸更小,方便操作,可靠性高当前最常见的贴片式封装,适用于各种电子设备sop soic区别J型引脚芯片封装封装外形为正方形,具有高强度和高可靠性。

2024-05-02 23:45:00 629

原创 电路笔记 : 电容电阻大小表示(103、104、151、2R5、R15的含义)

数字索位标称法就是在电阻体上用三位数字来标明其阻值。它的第一位和第二位为有效数字,第三位表示在有效数字后面所加“0”的个数.这一位不会出现字母。如果阻值是小数.则用“R”表示“小数点”.并占用一位有效数字,其余两位是有效数字。例如:“472′’表示“4700Ω”;“151”表示“150Ω”,“2R4″表示“2.4Ω”;“R15”表示“0.15Ω”。

2024-05-02 23:30:00 744

原创 设计编程网站集:真空成型工艺(真空吸塑)+真空成型机DIY

真空成型工艺是一种广泛应用于制造领域的工艺,主要用于制造复杂形状的塑料制品。真空成型工艺具有成本低、生产效率高、制品质量稳定等优点,因此在汽车、电子、医疗器械等行业得到广泛应用(还可以用来制作面具,哈哈哈(。・∀・)ノ゙)。:首先,将所需的塑料原料切割成适当大小的片材或颗粒,以备后续加工使用。:将塑料片材或颗粒置于加热器中,使其软化到一定程度,以便后续成型。:在软化的塑料上施加真空,使其贴合于模具表面,形成所需的形状。

2024-05-02 22:30:00 326

原创 电路笔记 : esp32pico-d4编程

【代码】电路笔记 : esp32pico-d4编程。

2024-04-17 17:00:00 447

原创 VBA脚本: ppt删除所有图片脚本 【图文】

【代码】VBA脚本: ppt删除所有图片脚本 【图文】

2024-04-17 11:30:00 422

原创 VBA脚本: excel隐藏和展开指定行 【图文】

【代码】VBA脚本: excel隐藏和展开指定行 【图文】

2024-04-17 05:00:00 453

原创 设计编程网站集:动物,昆虫,蚂蚁养殖笔记

蚂蚁养殖教程

2024-04-16 22:00:00 484

原创 电路笔记 : esp32pico-d4 焊接(16pin的typec、esp32pico-d4芯片)

电路笔记 : esp32pico-d4 焊接(16pin的typec、esp32pico-d4芯片)

2024-04-16 21:00:00 1146

前端3D编程与threejs[学习案例].rar

前端3D编程与threejs[学习案例]

2021-03-17

python的奇怪的库.rar

Boost.Python:实现C ++和Python之间的无缝互操作性。 Cffi:用于调用C代码的外函数接口。 需要 pycparser。 Coverage 在测试执行期间测量程序的代码覆盖率。 https://www.cnblogs.com/sunshine-blog/p/9815088.html cx_Freeze:一组脚本和模块,用于将Python脚本冻结为可执行文件。 cx_Oracle:符合Python DB API 2.0规范的 Oracle数据库的接口。 Cython:一种用于编写Python C扩展的语言。 Python高级技巧:lazy property https://blog.csdn.net/qq_28168421/article/details/90626573?depth_1-utm_source=distribute.pc_relevant.none-task&utm_source=distribute.pc_relevant.none-task 到kivy了 CVXcanon:凸优化建模工具的常用操作。CVXcanon:凸优化建模工具的常用操作。 Kiwisolver: Cassowary约束求解算法的有效实现。 Dipy:扩散MR成像。 biopython:https:一套用于生物计算的工具。//biopython-cn.readthedocs.io/zh_CN/latest/ 到LIBLINEAR:用于大型线性分类的库。了

2021-03-17

PLSQL Developer V8.0.2.rar

PLSQL Developer

2021-03-04

数列迭代的演示.ggb

数列迭代的演示

2021-01-05

DirectPage.rar

Android_跳转页

2021-01-04

wangluobiancheng.rar

android html解析:https://blog.csdn.net/ResumeProject/article/details/111997471

2020-12-30

ScreenToGif 2.rar

Screen To Gif:录制屏幕,同时也包含了摄像头录制,白板书写录制等功能,并且在录制后,可以对录制内容进行增删、修改、压缩等处理

2020-11-12

winterwell.markdown_0.2.3.jar

在ecplise中要安装插件才能打开.md。Eclipse Markdown Editor 是一款 Eclipse 插件,可以实现 .md 和 .txt 文件的 Markdown 语法高亮,并提供 HTML 预览。

2020-07-31

orb.rar-python+opencv的orb算法,打包好的exe

ORB 是 Oriented Fast and Rotated Brief 的简称,可以用来对图像中的关键点快速创建特征向量,这些特征向量可以用来识别图像中的对象。

2020-07-29

gestures-android手势识别两个对勾手势 OnGestureListener手势识别

android手势识别两个对勾手势 OnGestureListener手势识别 可用于加载手势库

2020-07-28

读者 2019年13期.pdf

读者 2019年13期.pdf

2020-07-28

LoveStudy-master.zip

git下载方法 https://blog.csdn.net/xiemanR/article/details/53858192 https://blog.csdn.net/wangzhibo666/article/details/86516866

2020-07-26

pylzma-0.5.0-cp38-cp38-win_amd64.whl

pylzma-0.5.0-cp38-cp38-win_amd64.whlpylzma-0.5.0-cp38-cp38-win_amd64.whlpylzma-0.5.0-cp38-cp38-win_amd64.whlpylzma-0.5.0-cp38-cp38-win_amd64.whlhttps://stackoverflow.com/questions/11005301/decompressing-with-pylzma

2020-07-26

taxi+_zone_lookup.csv

taxi+_zone_lookup.csv出租车区域数据

2020-07-25

AITHINKER-ESP8266-SDK-v2.0.rar

安信可esp8266,ESP8266 安信可WIFI模块,SDK V2.0编译环境 802.11 b/g/n 内置 Tensilica L106 超低功耗 32 位微型 MCU,主频支持 80 MHz 和 160 MHz,支持 RTOS 内置 10bit 高精度 ADC 内置 TCP/IP 协议栈 内置 TR开关、balun、LNA、功率放大器 和 匹配网络 内置PLL、稳压器和电源管理组件,802.11b 模式下 +20dBm 的输出功率 A-MPDU 、A-MSDU 的聚合和 0.4s 的保护间隔 WiFi @ 2.4GHz,支持 WPA/WPA2 安全模式 支持 AT远程升级 及云端 OTA升级 支持 STA/AP/STA+AP 工作模式 支持 Smart Config 功能(包括 Android 和 iOS 设备) HSPI 、UART、I2C、I2S、IR Remote Control、PWM、GPIO 深度睡眠保持电流为 10uA,关断电流小于 5uA 2ms 之内唤醒、连接并传递数据包 待机状态消耗功率小于 1.0mW (DTIM3) 工作温度范围:-40℃- 125℃

2020-07-25

tensorcalculus1603.01660.pdf

相关教程 https://www.youtube.com/watch?v=kGXr1SF3WmA&list=PLJHszsWbB6hpk5h8lSfBkVrpjsqvUGTCx

2020-07-25

GTM004.A.Course.in.Homological.Algebra.-.P.J.Hilton,U.Stammbach.pdf

Homological.Algebra Homological.Algebra 同调代数 Graduate Texts in Mathematics

2020-07-24

GTM003.Topological.Vector.Spaces.-Helmut.H..Schaefer (1).pdf

Graduate Texts in Mathematics Topological.Vector.Spaces 拓扑向量空间

2020-07-24

whisper.cpp的ggml-large-v3.bin模型参数文件

ggml-large-v3.bin模型参数文件的压缩一部分,压缩为4个部分,此为part4

2024-01-21

whisper.cpp的ggml-large-v3.bin模型参数文件

ggml-large-v3.bin模型参数文件的压缩一部分,压缩为4个部分,此为part3

2024-01-21

whisper.cpp的ggml-large-v3.bin模型参数文件

ggml-large-v3.bin模型参数文件的压缩一部分,压缩为4个部分,此为part2

2024-01-21

whisper.cpp的ggml-large-v3.bin模型参数文件

ggml-large-v3.bin模型参数文件的压缩一部分,压缩为4个部分,此为part1

2024-01-21

code : c++高性能多进程 cuda编程:GPU结构和通信速度+tiling

code for c++高性能多进程 cuda编程:GPU结构和通信速度+tiling

2023-08-04

onnx手动操作构造节点并转为tensorrt的数据

onnx手动操作构造节点并转为tensorrt的数据

2023-06-26

cudnn-linux-x86-64-8.9.1.23-cuda12-archive.tar.xz

cudnn-linux-x86_64-8.9.1.23_cuda12-archive.tar.xz

2023-05-15

PoseforEveryting的github代码

现有的二维姿态估计工作主要集中在某个类别,例如人、动物和车辆。但是,有许多应用场景需要检测看不见的物体类的姿势/关键点。在本文中,我们介绍了与类别无关的姿态估计(CAPE)的任务,该任务旨在创建一个姿态估计模型,该模型能够在仅给定几个具有关键点定义的样本的情况下检测任何类别物体的姿态。为了实现这一目标,我们将姿态估计问题表述为关键点匹配问题,并设计了一种新颖的CAPE框架,称为POse匹配网络(POMNet)。该文提出一种基于变压器的键点交互模块(KIM),用于捕获不同关键点之间的交互以及支持和查询图像之间的关系。我们还介绍了多类别姿势 (MP-100) 数据集,这是一个包含 100 个对象类别的 2D 姿势数据集,包含超过 20K 个实例,专为开发 CAPE 算法而设计。实验表明,我们的方法比其他基线方法大打折扣。

2022-11-15

语义标注https://github.com/StevenLiuWen/sRNN_TSC_Anomaly_Detection/i

语义标注https://github.com/StevenLiuWen/sRNN_TSC_Anomaly_Detection/issues/10

2022-08-15

tensorflow1.15 whl安装文件

tensorflow1.15 whl安装文件

2022-08-12

https://blog.csdn.net/ResumeProject/article/details/123292963

https://blog.csdn.net/ResumeProject/article/details/123292963

2022-05-22

samba.iso lunixsamba服务器

在嵌入式系统开发应用平台中,tftp、nfs和samba服务器是最常用的文件传输工具,tftp和nfs是在嵌入式Linux开发环境中经常使用的传输工具,samba是Linux和Windows之间的文件传输工具。

2021-03-22

PacketTracer52_setup.exe

思科路由模拟软件Packet Tracer

2021-03-20

shixi8yue对应的环境.rar

shixi8yue对应的环境

2021-03-18

shixi8yue.rar

html5+css3登陆,注册界面

2021-03-18

ajax聊天室修改了样式.rar

聊天室修改了样式

2021-03-18

android+opencv.rar

有两个不同版本的OpenCV-2/3-android-sdk和android端代码

2021-03-17

webgl初级教程r73-2018年10月.rar

webgl初级教程r73-2018年10月

2021-03-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除