C/C++---vector的赋值

本文介绍了C/C++中将一个vector赋值给另一个vector的四种方法:方法1的基础赋值,方法2的swap赋值,方法3的assign函数赋值,以及方法4的循环赋值。其中,swap和assign方法在效率上优于循环赋值。
摘要由CSDN通过智能技术生成

把一个vector赋值给另一个vector:

方法1:

1

vector<int> v1(v2);//声明

方法2:使用swap进行赋值:

1

vector<int> v1();v1.swap(v2);//将v2赋值给v1,此时v2变成了v1

方法3:使用

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值