vivado学习——创建文件

本文档介绍了如何在Vivado中创建Verilog文件。通过打开工程,点击Source,选择创建新文件,设置文件类型为Verilog并命名,最后确认完成,成功创建名为FreDivDou的Verilog文件。
摘要由CSDN通过智能技术生成

打开上篇文章中创建的工程,

点击Source中的“+”,

点击Next,

点击Create File,

在File type中选择Verilog,File name中填写文件名称,点击OK,

点击Fininsh,

I/O Port的配置随后再进行,此时点击OK,

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值