LCELL

要应用LCELL Buffer而不让它被综合工具或者布线工具删除,需要进行以下设置:
在QuartusII的"分析&综合"设置的more setting中,有以下2个选项,需要关闭:
(1)Removes redundant Logic Cells   (off)
(2)ignore LCELL Buffer  (off)
在fitter more setting中的这2个选项打开: (在12.0版本中没有这两项,有一个和这个类似的:logic cell insertion --logic duplication 系统自动设置的是auto,我没有管,两个lcell也没有被综合)
(1)Logic Cell Insertion-I/Os Fed By Carry or Cascade Chains  (on)
(2)Logic Cell Insertion-Individual Logic Cells          (on)

 

 

lcell 使用方法:在ip的primitive--buffer--lcell  使用lcell时一定要location它的位置,具体方法如下

  :右击--location--location in chip planner (floorplan and chip editor )--打开chip planner 页面---location assignment  window ---new中写入lcell 的名字(inst 4) --location  ---element---LAB--选坐标---ok--在quartus页面编译。。。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值