Vivado工程重建

        实际工作中,在完成一个项目的过程中,往往会出现很多个中间版本,一般对于版本的管理就是上传git。而一个FPGA工程所需要占据的空间就有几百兆甚至更大,将整个工程上传git,显然是不现实的。这时候就需要对fpga工程进行简化,删除多余的文件,只留下必要文件供工程重建或者重新跑一下代码使用。这里简单介绍使用TCL脚本重构vivado工程,只需要利用vivado生成重建工程脚本和源文件,即可自动回复工程。具体操作如下:

(1)生成tcl脚本

打开需要被重建的vivado工程:在File下选择Write Project Tcl,如下图所示

选择Recreate Block Designs using Tcl,并在Output File目录下选择生成的.tcl脚本的路径,及命名,这里命名为rebuild.tcl

点击OK。

点击Yes,直接打开rebuild.tcl脚本。

(2)修改脚本

保留第二条和第三条所示路径下的文件,删除其余所有文件。

新建一个文件夹,将生成的rebuild.tcl拷贝过来,修改rebuild.tcl文件,将set origin_dir "."中的.替换成C:\Users\Administrator\Desktop\Sar_uav_host_top_v7,指定上述文件所在的路径

(3)工程重建

打开vivado软件,使用tcl指令打开刚才新建的文件夹

cd C:/Users/Administrator/Desktop/Sar_uav_host_top_rebuild

输入source rebuild.tcl重建vivado工程

这样就可以重建vivado工程了

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值