在DC中使用tcl脚本综合和Formality一致性检查

本文介绍了在Design Compiler中更换工艺库以解决综合问题,并通过tcl脚本进行电路综合。更换为smic180库后,进行了时序和面积报告分析。接着,详细阐述了Formality一致性检查的步骤,包括设置DC地址、读取Verilog文件和网表文件,以及如何执行匹配和验证。提供了一种生成和运行一致性检查脚本的方法。
摘要由CSDN通过智能技术生成

Design Compiler工艺库的更换

通过上一节的基本操作,我们学会了如何使用design compiler综合一个电路,但是由于centos自带的库class中没有寄存器的相关映射,而导致综合失败,因此在eetop论坛上下载了新的smic180,这个库需要的东西应有尽有完全可以满足此次实验内容要求:

 因为工艺角的不同,区分为FF SS TT,这次就使用tipical当做库文件,相应的,我们在索引地址设置为新的库:

这次直接采用tcl脚本的方式来进行dc综合,脚本基本上没有任何变化,只是将周期设置为了10ns,没有设置最大扇出约束ÿ

  • 3
    点赞
  • 62
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
formality 验证流程是指在软件开发过程,对系统要求进行形式化验证的一种流程。这个流程主要用于验证软件的需求规格是否符合系统设计和用户要求。它通常包括以下几个步骤: 首先,确定验证的目标和范围。在这一步骤,需要明确要验证的系统规格,包括功能需求、性能需求和安全需求等。同时,还需要确定验证的方法和工具,以及所需的验证资源。 其次,进行形式化建模。在这一步骤,需要将系统规格转化为形式化的模型,通常使用形式化语言,如时序逻辑、Petri网等。这些模型可以帮助开发人员对系统进行精确的描述和分析,以便进行后续的验证工作。 然后,进行形式化验证。在这一步骤使用形式化工具对系统模型进行形式化验证。这些工具可以自动地检查系统规格是否满足特定的性质,如功能正确性、一致性和可达性等。如果验证通过,则系统规格是可靠的;如果验证不通过,则需要进行相应的修改和调整。 最后,对验证结果进行分析和评估。在这一步骤,需要对验证结果进行分析和评估,判断系统规格的质量和可靠性。如果验证结果符合预期,说明系统规格已经满足设计和用户要求;如果存在问题,则需要进行相应的修复和改进。 总之,formality 验证流程是一种重要的软件开发方法,它可以帮助开发人员对系统规格进行形式化验证,提高软件开发的可靠性和质量。但是,这个流程需要开发人员具备一定的形式化建模和验证技术,同时还需要投入足够的时间和资源,因此在实际应用需要权衡利弊并据此决策是否采用该流程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值