8b10b_decode

//#########################################################################//
//              Data        :   2023/12/2
//              origin      :   Xilinx
//              modified by :   jiayu Chen
//              PJ Name     :   xxxx
//              Model Name  :   xxxx
//              Version     :   1.0
//              Describle   : 
//              E-mail      : cjy18816252779@163.com
//########################################################################//
//========================================================================//
//	RTL Header
//========================================================================//
`timescale	1ps/1ps
module decode_8b10b (
    input                               clk                                     ,
    input       [9:0]                   data_in10b                              ,
    output      [7:0]                   data_out8b                              
);
    //------------------------------------------------------------------------//
    //	Defination	of	Internal	Signals
    //------------------------------------------------------------------------//
    wire        [7:0]                   s_data_in10b_8b                         ;
    reg         [7:0]                   r_data_out8b                            = 8'd0 ;

//========================================================================//
//	RTL	Body	
//========================================================================//

    assign      s_data_in10b_8b         =~data_in10b[7:0]                       ;

    always @( posedge clk ) begin
        case( data_in10b[9:8] )
            2'b11 :begin
                r_data_out8b[0] <= s_data_in10b_8b[0] ;
                r_data_out8b[1] <= s_data_in10b_8b[1] ^ s_data_in10b_8b[0] ;
                r_data_out8b[2] <= s_data_in10b_8b[2] ^ s_data_in10b_8b[1] ;
                r_data_out8b[3] <= s_data_in10b_8b[3] ^ s_data_in10b_8b[2] ;
                r_data_out8b[4] <= s_data_in10b_8b[4] ^ s_data_in10b_8b[3] ;
                r_data_out8b[5] <= s_data_in10b_8b[5] ^ s_data_in10b_8b[4] ;
                r_data_out8b[6] <= s_data_in10b_8b[6] ^ s_data_in10b_8b[5] ;
                r_data_out8b[7] <= s_data_in10b_8b[7] ^ s_data_in10b_8b[6] ;
            end
            2'b10 :begin
                r_data_out8b[0] <= s_data_in10b_8b[0] ;
                r_data_out8b[1] <=~s_data_in10b_8b[1] ^ s_data_in10b_8b[0] ;
                r_data_out8b[2] <=~s_data_in10b_8b[2] ^ s_data_in10b_8b[1] ;
                r_data_out8b[3] <=~s_data_in10b_8b[3] ^ s_data_in10b_8b[2] ;
                r_data_out8b[4] <=~s_data_in10b_8b[4] ^ s_data_in10b_8b[3] ;
                r_data_out8b[5] <=~s_data_in10b_8b[5] ^ s_data_in10b_8b[4] ;
                r_data_out8b[6] <=~s_data_in10b_8b[6] ^ s_data_in10b_8b[5] ;
                r_data_out8b[7] <=~s_data_in10b_8b[7] ^ s_data_in10b_8b[6] ;
            end
            2'b01 :begin
                r_data_out8b[0] <= data_in10b[0] ;
                r_data_out8b[1] <= data_in10b[1] ^ data_in10b[0] ;
                r_data_out8b[2] <= data_in10b[2] ^ data_in10b[1] ;
                r_data_out8b[3] <= data_in10b[3] ^ data_in10b[2] ;
                r_data_out8b[4] <= data_in10b[4] ^ data_in10b[3] ;
                r_data_out8b[5] <= data_in10b[5] ^ data_in10b[4] ;
                r_data_out8b[6] <= data_in10b[6] ^ data_in10b[5] ;
                r_data_out8b[7] <= data_in10b[7] ^ data_in10b[6] ;
            end
            2'b00 :begin
                r_data_out8b[0] <= data_in10b[0] ;
                r_data_out8b[1] <=~data_in10b[1] ^ data_in10b[0] ;
                r_data_out8b[2] <=~data_in10b[2] ^ data_in10b[1] ;
                r_data_out8b[3] <=~data_in10b[3] ^ data_in10b[2] ;
                r_data_out8b[4] <=~data_in10b[4] ^ data_in10b[3] ;
                r_data_out8b[5] <=~data_in10b[5] ^ data_in10b[4] ;
                r_data_out8b[6] <=~data_in10b[6] ^ data_in10b[5] ;
                r_data_out8b[7] <=~data_in10b[7] ^ data_in10b[6] ;
            end
            default: ;
        endcase
    end

    assign      data_out8b = r_data_out8b ;

endmodule
  • 9
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值