Vivad报错-5 [placed 30-675]Sub-optimal placement

ERROR [placed 30-675] ERROR: Sub-optimal placement for a global clock-capable I0 pin and BUFG pair.   If this sub optimal condition is acceptable for this design, you may use the CLOCK DEDICATED ROUTE constraint in the xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.

<set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets u_dig_io_pad/U_GPIO10/iobuf/0]

        这个错误是在放置器件的时候报出来的,意思就是时钟使能的I/O和全局缓冲器BUFG放置的位置不是最优的。如下图我的设计报错:

        这里的规矩就是一个SLR里面(普及一

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值