vivodo报[Place 30-675]错误

最近使用VIVODO进行实现时报错

[Place 30-675] Sub-optimal placement for a global clock-capable IO pin and BUFG pair.If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
	< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets design_1_i/util_ds_buf_7/U0/USE_IBUFDS.GEN_IBUFDS[0].IBUFDS_I/O] >

	design_1_i/util_ds_buf_7/U0/USE_IBUFDS.GEN_IBUFDS[0].IBUFDS_I/IBUFCTRL_INST (IBUFCTRL.O) is locked to IOB_X1Y112
	 design_1_i/DataStore2Fifo_0/inst/BUFGCE_DIV_inst (BUFGCE_DIV.I) is provisionally placed by clockplacer on BUFGCE_DIV_X0Y8

开始以为是程序代码的问题,后来发现是引脚的问题。
在FPGA中,时钟输入需要专门的时钟引脚。
解决这个问题的办法:
1、将报错的引脚重新约束到FPGA的时钟引脚上,简单来说就是这种六边形的引脚
在这里插入图片描述
2、如果引脚不够,可以在约束文件中添加

	< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets design_1_i/util_ds_buf_7/U0/USE_IBUFDS.GEN_IBUFDS[0].IBUFDS_I/O] >

具体可以看一下报错的Messages. 当然这样相当于屏蔽掉了错误,但是问题不大,对于一般的信号已经够用了。

更详细的说明参见网站https://support.xilinx.com/s/article/66659?language=en_US
博客

  • 4
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

棘。。背凉

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值