【Verilog】3 语言要素——数据类型

在这里插入图片描述

线网类型

线网数据类型包含下述不同种类的线网子类型。
• wire 
• tri 
• wor 
• trior 
• wand 
• triand 
• trireg 
• tri1 
• tri0
• supply0 
• supply1 

在这里插入图片描述
在这里插入图片描述

wire和t r i线网

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

wor和trior线网

在这里插入图片描述

wand和triand线网

在这里插入图片描述

trireg线网

在这里插入图片描述

tri0和tri1线网

在这里插入图片描述

supply0和supply1线网

在这里插入图片描述

未说明的线网

在这里插入图片描述

向量和标量线网

在这里插入图片描述

寄存器类型

5种不同的寄存器类型。
• reg
• integer
• time
• real
• realtime

reg寄存器类型

在这里插入图片描述
在这里插入图片描述

存储器

在这里插入图片描述

寄存器赋值

在这里插入图片描述

存储器赋值

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

Integer寄存器类型

在这里插入图片描述
在这里插入图片描述

time类型

在这里插入图片描述

real和r e a l t i m e类型

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值