FPGA学习——verilog捕捉信号上升沿下降沿

在FPGA使用中,常常需要进行信号的边沿检测,如在串口通信中,需要检测接收信号的下降沿来判断串口的的起始位。

常用的方法就是:设计两个一位的寄存器,用来接收被检测的信号,系统时钟来一次记一次输入信号,如果用了两个寄存器直接异或就可以了使用高频的时钟对信号进行采样,因此要实现上升沿检测,时钟频率至少要在信号最高频率的2倍以上,否则就可能出现漏检测。代码如下:

module edge_detect(sys_clk,rst_n,signal,pos_edge,neg_edge,both_edge);
 input sys_clk; //系统时钟
 input rst_n;   //复位信号 
 input signal;  //待检测信号
 output pos_edge;    //检测上升沿
 output neg_edge;    //检测下降沿
 output both_edge;   //检测上边沿
 
 reg sig_r0,sig_r1;//状态寄存器
 always @(posedge sys_clk or negedge rst_n)
  begin
      if(rst_n)
	    begin
		   sig_r0 <= 1'b0;
		   sig_r1 <= 1'b0;
		end
	  else
	    begin
		   sig_r0 <= signal;
		   sig_r1 <= sig_r0;
		end
  end
 
 assign pos_edge = ~sig_r1 & sig_r0; //上升沿检测
 assign neg_edge = sig_r1 & ~sig_r0; //下降沿检测
 assign both_edge = sig_r0 ^ sig_r1; //双边沿检测
endmodule

  • 3
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值