verilog的时钟控制信号——学习笔记

引言:在学习verilog时发现对上升沿和下降沿的介绍和资料较少,故自己整理了一下。

posedge CLK ——上升沿;

negedge RST_n——下降沿;

1.异步复位的时序电路

always @ (posedge CLK or negedge RST_n):

注释:当 CLK 上升沿或者 RSTn 下降沿触发。

begin
    if(~RST_n) begin
       ......
    end

end

注释:RST_n,表示下降沿,但是有效时逻辑值为1,和下降沿低电平不符合,故用!RST_N或

~RST_n.

2,同步复位的时序电路

always@(posedge clk)

begin
    if(!RST_n)

    begin
        ......

     end
    else

    begin
        ......
    end
end

  • 1
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值