DC综合过程以及常用命令

综合过程:
1、库文件设置
2、读入设计
3、环境设置
4、DRC约束
5、时钟时序约束
6、编译优化
7、报告分析

1、库文件设置
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述2、环境约束
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
3、DRC约束
在这里插入图片描述
4、时钟时序约束
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
5、编译优化
在这里插入图片描述
6、报告分析
在这里插入图片描述
7、综合结果
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值