基于移位寄存器的同步FIFO

module sync_fifo
#(parameter N=32) 
(
	input clk,
	input rst_n,
	input wr,
	input rd,
	input [N-1:0] wdata,
	output [N-1:0] rdata,
	output empty,
	output full
);
reg [N-1:0] shift_reg [N-1:0];

wire wen=wr & ~full;
wire rdn=rd & ~empty;
assign empty=(|data_cnt);
assign full     =(&data_cnt);
reg [N-1:0]  rdata_r;

always @(posedge clk, negedge rst_n) begin
	if(!rst_n) begin
		for(int i=0;i<N;i=i+1)
			shift_reg[i]<='b0;
	end
	else if(wen) begin
		shift_reg[0]<=wdata;
		for(int i=1;i<N-1;i=i+1)
			shift_reg[i]<=shift_reg[[i-1];
	end
end

always @(posedge clk ,negedge rst_n) begin
	if(!rst_n)	
		rdata_r<='b0;
	else if(wen) begin
		rdata_r<=shift_regs[data_cnt-1];
	end
end 

always @(posedge clk ,negedge rst_n) begin
	if(!rst_n)	
		data_cnt<='b0;
	else begin
		case(ren,wen) 
				2'b01:data_cnt<=data_cnt+1'b1;
				2'b10:data_cnt<=data_cnt-1'b1;
				default:data_cnt<=data_cnt;
		endcase
	end
end 
assign rdata=rdata_r
endmodule
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值