辨析同步复位和异步复位(附代码验证)

1、同步复位:只有在时钟上升沿到来时有效

同步复位代码:

always@(posedge sys_clk)
	if(sys_rst_n == 0)
		led_out <= 0;
	else
		led_out <= key_in;

综合电路:FDR : D Flip-Flop with Synchronous Rsest同步清除D触发器。

2、异步复位:只要复位信号有效,就对信号进行复位

代码:

always@(posedge sys_clk or negedge sys_rst_n)
	if(sys_rst_n == 0)
		led_out <= 0;
	else
		led_out <= key_in;

综合电路:FDC : D Flip-Flop with Asynchronous Clear 带异步清除D触发器 ,与 FDR的区别是。当CLR置为高电平时,不需要等到下一个时钟的上升沿就可以复位寄存器。

3、仿真代码

`timescale 1ns / 1ns
module tb_flip_flop;

	// 输入输出列表
	reg sys_clk;
	reg sys_rst_n;
	reg key_in;
	
	wire led_out;
	
	
	//初始化
	initial begin
		sys_clk = 1'b1;
		sys_rst_n <= 1'b0;
		key_in <= 1'b0;
		#20;
        sys_rst_n = 1'b1; 
		#210;
        sys_rst_n = 1'b0;
		#40;
        sys_rst_n = 1'b1;  
	end
	
	//模拟时钟和按键输入
	always #10 sys_clk = ~sys_clk;	//时钟每隔10ns翻转一次,周期为20ns,频率为50Mhz
	always #20 key_in  <= {$random} % 2;
	
	//实例化
	flip_flop uut (
		.sys_clk(sys_clk), 
		.sys_rst_n(sys_rst_n), 
		.key_in(key_in), 
		.led_out(led_out)
		);
		
endmodule

4、仿真波形

 异步复位同步释放

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值