第22篇:异步复位D触发器

Q:本篇我们在基本D触发器中添加一个复位控制信号来实现带异步复位功能的D触发器。

A:带复位控制信号(RST)的D触发器,当RST为0时,输出Q为0;当RST为1时,Q取决于D和CLK的输入。

带复位信号控制信号的D触发器又有同步复位和异步复位之分。在时钟信号上升沿时完成复位,称之为同步复位;异步复位是指无论在时钟上升沿还是下降沿,都会执行清零复位操作。异步清零D触发器Verilog代码:

例化异步复位D触发器,使用DE2-115开发板的SW[0]作为数据输入D,KEY[0]作为时钟输入CLK,KEY[1]作为异步复位信号输入,LEDR0显示输出值Q。ModelSim仿真结果:

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值