<Verilog实现除法器> Verilog实现不恢复余数(non-restoring)除法器

一,内容介绍

除法器是数字电路中的基础电路之一,也是CPU计算单元的核心功能之一。下面我们用verilog实现三种常用的除法器。

Verilog实现恢复余数(restoring)除法器
目前:Verilog实现不恢复余数(non-restoring)除法器
Verilog实现牛顿迭代法除法器
verilog实现不恢复余数(non-restoring)除法器之单时钟周期改进版

二,不恢复余数(non-restoring)除法器实现

module NRS_DIV #(parameter WIDTH = 32)
(
	input				   clk_i,
	input				   rst_i,
	input 				   data_valid_i,
	input 	   [WIDTH-1:0] dividend_i,
	input 	   [WIDTH-1:0] divisor_i,
	output reg 		 	   qr_valid_o,
	output reg [WIDTH-1:0] quotient_o,
	output reg [WIDTH-1:0] remainder_o
);

reg [2*WIDTH-1:0] dividend  [WIDTH-1:0];
reg [2*WIDTH-1:0] divisor   [WIDTH-1:0]
  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值