HDLbits--ps2data

该模块包含一个基于输入信号的FSM,具有三个状态s1,s2,s3。在时钟边沿触发下,状态机根据输入信号更新状态,并存储输入字节到in1,in2,in3。当状态为s3时,输出done信号,并将存储的字节输出到out_bytes。
摘要由CSDN通过智能技术生成
module top_module(
    input clk,
    input [7:0] in,
    input reset,    // Synchronous reset
    output [23:0] out_bytes,
    output done); //
    
    parameter idel=0,s1=1,s2=2,s3=3;
    reg [1:0] state,next_state;
    reg [7:0] in1,in2,in3;

    // FSM from fsm_ps2
    always@(*)
        begin
            case(state)
                idel:
                    next_state<=in[3]?s1:idel;
                s1:begin
                    next_state<=s2;
                end
                s2:begin
                    next_state<=s3;
                end
                s3:begin
                    next_state<=in[3]?s1:idel;
                end
            endcase
        end
    
    always@(posedge clk)
        begin
            if(reset)
                state<=idel;
            else
                state<=next_state;
        end
    

    // New: Datapath to store incoming bytes.
    always@(posedge clk)
        begin
            if(next_state==s1)
                in1<=in;
            if(next_state==s2)
                in2<=in;
            if(next_state==s3)
                in3<=in;

        end
    
    assign done =(state==s3);
    assign out_bytes=(done)?{in1,in2,in3}:0;
endmodule

第一次错了 把42 44 46行的state改成next-state后正确。 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值