VHDL实现分频器

目录

设计要求:

实现代码:

生成元件图形:

模拟仿真结果:​


整体项目资源在:VHDL分频器-占空比50%-将FPGA板上的50Mhz的信号分频为1hz时钟信号-嵌入式文档类资源-CSDN文库

设计要求:

                将系统时钟50MHz 分频为1Hz 的时钟信号

                占空比为50%

实现代码:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity div_vhdl is
	port(
			 clk : in std_logic;
		   div_out : out std_logic
	);
end div_vhdl;

architecture behavior of div_vhdl is
	signal time  : integer range 0 to 4;
	signal q   	 : std_logic := '0';
begin
	process(clk)
	begin
		if rising_edge(clk) then
			time <= time+1;
			if time = 25000000 then
				q<='1';
			elsif time = 50000000 then
				q<='0';
				time <= 0;
			else null;
			end if;
		end if;
	end process;
	div_out<=q;
end behavior;


生成元件图形:

模拟仿真结果:

  • 6
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Vizio<

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值