HDLbits_状态机-Fsm serialdata

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output [7:0] out_byte,
    output done
); //

 reg [4:0]state,next_state;
    parameter IDLE=4'b0001,START=4'b0010,WAIT=4'b0100,STOP=4'b1000;
    reg [3:0]cnt;
    always@(*)begin
        case(state) 
        IDLE:
            if(in)
            next_state<= IDLE;
            else
            next_state<= START;    
            START:
             begin
             if(cnt==4'b1000)   
                 if(in)
           		 next_state<=STOP;
                 else 
            	 next_state<=WAIT; 
           		 else	
                 next_state<=START;  
              end    
            
            WAIT:
              if(in)
                    next_state<=IDLE;
              else
                    next_state<=WAIT;  
            STOP:
                if(in)
  					next_state<=IDLE;
            else
                next_state<= START;
        
        endcase
    end
            
            always@(posedge clk)
                if(reset)
			cnt<=0;
   		    else if(state==START)
             cnt<=cnt+1;
            else if(state==START)
                cnt<=cnt;
    		else
				cnt<=0;				
            always@(posedge clk)
            if(reset)
			state<=IDLE;
            else
			state=next_state;				
    
            
        assign   done=state==STOP;
            always@(posedge clk)
            if(reset)
			out_byte<=8'b0000_0000;
    	else if(cnt<4'b1000)
        out_byte[cnt]<=in;
            else
        out_byte<=out_byte;
    // Use FSM from Fsm_serial

    // New: Datapath to latch input bits.

endmodule

 

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值