HDLbits-状态机-Fsm serial

该模块是一个基于Verilog的状态机,包含IDLE、START、WAIT和STOP四个状态。它有一个输入信号in,一个同步复位信号reset,以及一个输出done。在每个状态,根据in和cnt计数器的值,状态会转移到下一个合适的状态。cnt在START状态下递增,在其他状态可能重置为0。done信号在STOP状态时被置高。
摘要由CSDN通过智能技术生成

 状态转移图

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output done
); 
    
    reg [4:0]state,next_state;
    parameter IDLE=4'b0001,START=4'b0010,WAIT=4'b0100,STOP=4'b1000;
    reg [3:0]cnt;
    always@(*)begin
        case(state) 
        IDLE:
            if(in)
            next_state<= IDLE;
            else
            next_state<= START;    
            START:
             begin
                 if(cnt==4'b1000)   
                     if(in)
           			 next_state<=STOP;
                	 else 
            		next_state<=WAIT; 
           		else	
                 next_state<=START;  
              end    
            
            WAIT:
              if(in)
                    next_state<=IDLE;
              else
                    next_state<=WAIT;  
            STOP:
                if(in)
  					next_state<=IDLE;
            else
                next_state<= START;
        
        endcase
    end
            
            always@(posedge clk)
                if(reset)
			cnt<=0;
   		    else if(state==START)
             cnt<=cnt+1;
            else if(state==START)
                cnt<=cnt;
    		else
				cnt<=0;				

            always@(posedge clk)
            if(reset)
			state<=IDLE;

            else
			state=next_state;				

    
            
        assign   done=state==STOP;
        
endmodule

 

 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值