关于interface中时钟块里的input和output方向

interface dut_in_interface(input bit clk, input bit rst_n)
    logic       in_en  ;
    logic [7:0] in_data;

    clocking drv_cb @(posedge clk)
        default input #1 output #1;

        output in_en  ;
        output in_Data;
    endclocking

    clocking mon_cb @(posedge clk)
        default input #1 output #1;

        input in_en  ;
        input in_Data;
    endclocking

endinterface


interface dut_out_interface(input bit clk, input bit rst_n)
    logic       out_en  ;
    logic [7:0] out_data;

    clocking mon_cb @(posedge clk)
        default input #1 output #1;

        input out_en  ;
        input out_Data;
    endclocking
endinterface

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值