Quartus频率计设计Verilog代码仿真

名称:Quartus频率计设计Verilog代码仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

频率计设计

基本要求:8位数码管显示结果,闸门时间固定1S

扩展:闸门时间用按键进行切换,四个闸门时间:1S,    0.1S,0.01S,0.001S。

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 仿真图

整体仿真图

控制信号产生模块

计数器模块

控制锁存器模块

数码管驱动显示(加分频器)模块

部分代码展示:

//频率计
module Freq_top(
input clk,//50MHz
input reset,//复位
input signal_in,//被测信号
output [7:0] LED_BIT,//数码管位选,8个数码管
output [7:0] LED_SEG//数码管段选
);
wire en;
wire rst;
wire lat;
wire [31:0] number;
wire [31:0] store_number;//锁存值
//控制信号产生模块(控制器)
Frq_Ctrl i_Frq_Ctrl(
. clk(clk),//50MHz
. en(en),1S闸门信号
. rst(rst),//清零
. lat(lat)//锁存
);
//计数器模块
counter i_counter (
. signal_in(signal_in),//被测信号
. en(en),1S闸门信号
. rst(rst),//清零
. number(number)//计数值
);
//锁存器模块
data_store i_data_store(
. reset(reset),//复位
. lat(lat),//锁存
. number(number),//计数值
. store_number(store_number)//锁存值
);
//数码管驱动显示(加分频器)
LED_DISP i_LED_DISP(
. clk(clk),//
. store_number(store_number),//锁存值
. LED_BIT(LED_BIT),//数码管位选,4个数码管
. LED_SEG(LED_SEG)//数码管段选
);
endmodule
完整代码

 扫描文章末尾的公众号二维码

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值