11位全加器设计Verilog代码Quartus仿真

名称:11位全加器设计Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

先设计1位全加器

然后11个1位全加器串联,组成11位加法器

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. Testbench

6. 仿真图

部分代码展示:

//1位全加器模块
module  add_1bit(
input A,//加数
input B,//加数
input C_in,//加数进位
output SUM,//和
output C_out//和进位
);
wire AB,A_B,ABC;
assign AB=A ^ B;//异或门
    assign A_B=A & B;//与门
assign SUM=C_in ^ AB;//异或
    assign ABC=C_in & AB;//与门
assign C_out=A_B | ABC;//或门
endmodule
源代码

点击下方的公众号卡片获取

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值