基于Verilog HDL的数字秒表

目录


工具:quartus18.1 lite

代码

	module biao_v(clk,reset,pause,msh,msl,sh,sl,minh,minl);
	//其中msh为百分秒的十位,msl为百分秒的个位,sh为秒的十位,sl为秒的个位,minh为分的十位,minl为分的个位
	input clk,reset,pause;//时钟,复位,暂停
	output [3:0] msh,msl,sh,sl,minh,minl;//输出
	reg [3:0] msh,msl,sh,sl,minh,minl;//寄存器
	reg count1,count2;//寄存器
	
	//设置百分秒
	always @(posedge clk or posedge reset)//时钟上升沿或复位上升沿
	 begin
		if(reset)//信号为复位时
		 begin
		{msh,msl}<=0;//百分秒十位和百分秒个位赋0
		count1<=0;//寄存器count1赋0
		 end
		 else if(!pause)//信号不是复位不是暂停时
		 begin
			if(msl==9)//如果百分秒个位为9
		   begin
				msl<=0;//百分秒个位赋0
				if(msh==9)//如果百分秒十位为9
				begin
					msh<=0;//百分秒十位赋0
					count1<=1;//寄存器count1赋1
				end
				else//如果百分秒十位不为9
				msh<=msh+1;//百分秒十位加1
		   end
			else//如果百分秒个位不为9
			begin 
				msl<=msl+1;//百分秒个位加1
				count1<=0;//寄存器coount1赋0
			end
			end
	 end
	 
	 //设置秒
	 always @(po
  • 4
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值