FPGA VERILOG中怎么给可变长度的变量赋值全是1

问题描述:

看了一些网友提供的方法:将其赋值为-1就可以了,a=-1,补数表示就是全1。

可以做到,但编译会提示警告Warning (10230): truncated value with size 32 to match size of target。原因分析: 长度不定时,系统会自动分配32位位宽,虽然做到赋值全1,但这样比较浪费资源

写成:assign xxx = 1[0+:width];则会报语法错误:Syntax error near "["

解决方法,按照长度赋值0,然后按位取反即可:assign xxx[0+:width] = ~(1'b0<<(width-1));  

编译通过。 

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值