Verilog之参数定义

参数定义的方法有以下几种:

  1. localparam A = 1;当前文件内部调用,调用格式直接用A
  2. parameter A = 1;全局变量工程调用,调用格式直接用A
  3. `define AA 1 同c语言宏定义类似,当前文件调用调用,标点不能掉
    在这里插入图片描述
  4. 把所有的参数写在一个文件中,用include包含,这样做的好处是让所有的文件都能用,方便修改。在参数多的情况下提倡用文件的格式,有个标点不能忘记。头文件包含以及参数引用都不能忘记在这里插入图片描述
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值