Verilog 参数

三个关键字

  1. `define

是一种预处理命令,编译系统先对这些命令预处理,然后将处理的结果和源程序一起再进行正常的编译。
作用 :宏定义常量。
范围:一旦define被编译,其在整个编译过程中都有效,也即它会影响工程的其他模块;只有当遇到`undef命令才能使之失效。
用法: 写在模块名称上面。
建议用大写字母,以与变量名区别;
行末不加分号;

`define WORDSIZE 8
module...
reg [1:`WORDSIZE] data;
  1. parameter
    在这里插入图片描述

parameter:范围 -> 本module内,可用于模块间参数传递(顶层例化子模块)

localparam 作用 -> 常用于状态机的参数定义;
范围 -> 本module内有效,不可用于参数传递;
localparam cannot be used within the module port parameter list.

参数传递

  1. module内部使用
1 module exam_prj
2     #(parameter WIDTH=8)  
4     (
5         input [WIDTH-1:0] dataa,//[WIDTH-1:0]
6         input [WIDTH-1:0] datab,
7 
8         output reg [WIDTH:0] result
9     ); parameter Conuter_Top = 4'd9;//用于代码部分的参数
//代码部分省略

这里出现的两个参数parameter,第一个表示只在端口设置时使用,后面的是对于模块内部的使用。
2. 参数传递top2sub
与子模块例化一起:
module_name
#( .parameter_name(para_value), .parameter_name(para_value))
inst_name (port map);

//module exam_prj_tb;

    exam_prj #(
                .WIDTH(8),
                .Conuter_Top(4'd5)
              )
            exam_prj_inst//注意例化时的名字在这个位置
            (
                .dataa(dataa),
                ...
                .result(sum)
            );

参考资料:
夏宇闻,
https://blog.csdn.net/Times_poem/article/details/51371940
https://www.cnblogs.com/uiojhi/p/7844879.html

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值