Xilinx DDS IP设置

DDS(Direct  Digital  Frequency  Synthesizer)直接数字频率合成器

本文主要介绍如何调用Xilinx的DDS IP核生成某一频率的Sin和Cos信号。

1、相关参数的介绍及求解

1)DDS输出频率


2)频率分辨率


3)相位增量


2、具体实例

1) 相关数值计算

设系统时钟为30.24M,输出频率为1M,相位数据位宽为16位,则


2)DDS IP核设置


                                                                                       图1

标注1:系统时钟值,设为30.24;

标注2:通道数,设置为1;

标注3:通过控制芜杂散动态范围(Spurious Free Dynamic Range,SFDR)的数值,可以调整正弦和余弦输出数据的数据位宽,这里设置为91,则正余弦输出为16位。

标注4:频率分辨率,设置为461.4258,可以看出当频率分辨率设置好后,DATA的数据位宽变为16位。


                                                                                                                 图2

标注1:相位偏移量可编程性,这里选择Programmmable。

标注2:输出选择,选择Sine and Cosine。


                                                                                             图3

输出频率设置为1

其他设置都为默认设置。

3、程序


data_in即为输入的相位增量值。

4、Modelsim仿真


                                                                                             图4

5、下板子测试


                                                                                        图5

6、MATLAB验证

将chipscop采集到的正余弦信号的输出数据存为.TXT格式,用matlab处理数,有


                                                                                                 图6


  • 17
    点赞
  • 127
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值