AXI总线注意事项

AXI有五个独立通道
(1)写控制
(2)写数据
(3)写响应
(4)读控制
(5)读响应(读数据)
每个通道独立工作,但响应通道必须在控制/数据通道之后有效
AXI重要信号说明
(1)size:读/写数据的数据字节数(eg:size=2,2^2=4byte,则说明每个数据(若burst,数据位宽为256bis,则每个数据有效字节为4字节));指示每个数据有效字节数
(2)wstrb:指示每个数据有效byte ;地址对齐,指示有效的字节数具体是某byte
(3)len:说明每次传输需要传输数据的个数(传输len+1个数据);指示每次传输数据个数
(4)addr:传输的起始地址 ;不用地址对齐,指示每次传输的起始地址
则每次传输数据总量字节数=2^size * (len+1)
(5)data:读、写数据 ;地址对齐
eg:数据位宽256bits,则size(可以为0~5),若size=2,则每个数据有效字节为4byte,addr=0x0014,len=0
在这里插入图片描述
注:
数据位宽为256,则地址对齐为00/20/40/80
数据位宽为64, 则地址对齐为0/8

参考:(1)https://max.book118.com/html/2021/0403/7024025114003110.shtm
(2)https://blog.csdn.net/lum250/article/details/120912567?spm=1001.2101.3001.6650.8&utm_medium=distribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromBaidu%7Edefault-9-120912567-blog-121138167.pc_relevant_aa2&depth_1-utm_source=distribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromBaidu%7Edefault-9-120912567-blog-121138167.pc_relevant_aa2

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值