数字逻辑电路基础-时序逻辑电路之触发器

本文深入讲解数字逻辑电路中的D触发器,阐述其工作原理,并通过Verilog代码展示如何实现带同步复位、异步复位及使能控制的D触发器。同时,提供综合与仿真的结果,鼓励读者通过修改输入值进行验证。
摘要由CSDN通过智能技术生成


一、D触发器

本文介绍数字逻辑电路中常用的基础时序逻辑电路触发器。它有记忆和存储信息功能,触发器是边沿触发电路。

下图是触发器常用表示方式(时钟上升沿有效):
触发器符号

触发器由两个锁存器组成,前级是主锁存器,后级是从锁存器。时钟CLK为低时将din的数据锁存进主锁存器,当CLK为高时,前级输出会锁存到从锁存器。这样,主锁存器在时钟低电平时对din的跟随不会影响到输出Q。在时钟为高时,Q会跟随前级锁存器的输出,但此时前级输出不会变化了。由于输出Q的变化发生在时钟信号由低到高的瞬间,所以触发器它是边沿敏感电路。
D触发器


二、verilog源码

// dff with synchronous reset

module dff_sclr(d, clk, clrn,<
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值