[从零开始学习FPGA编程-18]:快速入门篇 - 操作步骤2-6- VerilogHDL时序电路语法分析(以计数器为例)

本文从零开始介绍FPGA编程,通过VerilogHDL的计数器例子深入理解时序电路语法。文章分为两部分,详细讲解了功能模块counter.v和驱动代码tcounter.v。
摘要由CSDN通过智能技术生成

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客

本文网址:

目录

前言:

第1章 功能模块代码:counter.v

第2章 驱动代码:tcounter.v


前言:

前文以及阐述了VerilogHDL基本语法,本文将以modelsim自带的计数器程序来解读VerilogHDL的语法。

代码路径:

C:\modeltech64_10.4\examples\tutorials\verilog\basicSimulation

counter.v =》 功能模块代码

tcounter.v =》驱动代码

第1章 功能模块代码:counter.v

// Copyright 1991-201
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

文火冰糖的硅基工坊

你的鼓励是我前进的动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值