#芯动力——硬件加速设计方法# 第一章 概述

一、随堂测验

1、请问下列工具中哪些工具不支持RTL级代码仿真?

     A.VCS
     B.Modelsim
     C.NC-verilog
     D.LEDA

2、请问数字芯片设计中“逻辑综合阶段”主要作用是?

     A.将RTL代码转为门级网表
     B.完成功能仿真
     C.完成时序仿真
     D.完成布局布线

3、请问目前可以完成布局布线的EDA工具主要包括哪些?

     A.ICC2
     B.Encounter
     C.ICC
     D.Innovus

4、请问相比静态时序分析,动态仿真的主要缺点是什么?

     时间长,测试向量难以把功能点覆盖全面

5、请问逻辑综合重点关注的指标是?

     时序、面积、功耗

二、测试作业

1、将RTL代码转为网表是哪个阶段?

     逻辑综合、优化阶段

2、布局布线阶段需要输入的设计文件是代码还是网表?

     网表

3、功能验证阶段通常有哪些EDA工具?

     Modelsim、VCS、NC-Verilog

4、Synopsys、Cadence两家的仿真验证工具、逻辑综合工具、形式验证工具、布局布线工具分别是什么?

仿真验证工具逻辑综合工具形式验证工具布局布线工具
SynopsysVSCDCFormalityPhysicalCompiler
CadenceNC_simBuildGatesFormalCheckSoC Encounter

三、知识重点

在这里插入图片描述

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值