芯动力——硬件加速设计方法学习笔记(第二章)高质量VerilogHDL描述方法(2)(考虑面积、功耗、时延等)

系列文章目录

芯动力——硬件加速设计方法学习笔记(第一章)概述
芯动力——硬件加速设计方法学习笔记(第二章)高质量VerilogHDL描述方法(1)



前言

学习第三天,打卡学习!


一、延时

1、分支电路中信号有延时

例1: 假设b的延时最大
在这里插入图片描述
在这里插入图片描述

例2: 分支中有一个控制信号比较晚,还是把他挪到最后面
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

例3: if里面嵌套了case语句
在这里插入图片描述

电路是并行case形成的mux、单if语句形成的mux
在这里插入图片描述
在这里插入图片描述

2、数据通道中信号延时

在这里插入图片描述
在这里插入图片描述

二、面积

要学会估计设计使用资源的数量,如使用了多少个触发器、加法器、乘法器等。
触发器的数量由功能决定,很难减少,同时触发器的面积比较好估计。
因此重点是组合逻辑电路。组合逻辑对应到RTL代码,就是各种运算符。

1、应加以重视,如“ + ”、“-”、“×”、“÷”以及条件语句中的比较运算

对于这些操作,首先应该判断其必要性,是否能用更简单的运算代替。
在这里插入图片描述

2、复杂的运算符考虑资源共享

综合器的功能是有限的,从RTL代码方面进行改进,效果更好

3、多比特的运算

在这里插入图片描述

三、功耗

电路动态功耗计算公式
功耗较大:状态机、译码器、多路选择器等
降低功耗的同时,时延和面积也会减少
在这里插入图片描述

1、门控时钟

直接关闭整个时钟

2、增加使能信号,使得部分电路只有在需要工作时才工作

使能信号,时钟是一直在翻转的,只是控制信号不再翻转

3、对芯片各个模块进行控制,在需要工作时才工作

4、组合逻辑产生的毛刺会大量消耗功耗

尽量把产生毛刺的电路放在传播路径的最后。另外,可以使用一些减少毛刺的技术。

5、对于有限状态机,可以通过低功耗编码来减少电路的翻转

在这里插入图片描述

四、考虑代码对布线布局(routing&placement)的影响

热点:在实现某个功能时需要在一个面积内占用大量的布线资源,如较大的MUX

五、总结

1、在RTL代码编写时要考虑面积、功耗、延时的影响

延迟对应电路性能,降低延迟可以提高芯片的频率,计算速度也就越快。
面积对应流片的成本,成本是芯片生产最重要的因素,面积越小,成本越低。
在这里插入图片描述

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA PLL的升频范围取决于特定的FPGA片和PLL模块。一般来说,FPGA片内部的PLL模块可以将输入时钟信号的频率提高数倍。具体的升频范围取决于PLL的设计和配置参数,例如VCO(Voltage Controlled Oscillator)的范围和分频器的设置。一些FPGA片的PLL模块可以将输入时钟信号的频率提高至几百兆赫兹甚至数千兆赫兹。但需要注意的是,升频范围也受到FPGA片自身的限制和工艺的限制。因此,最好查阅相关的FPGA片手册或文档,以了解具体的PLL升频范围。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [FPGA之道(47)时钟及时钟域](https://blog.csdn.net/Reborn_Lee/article/details/104346278)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [动力——硬件加速设计方法学习笔记(第三章)时钟、时钟切换电路、同步/异步电路、亚稳态、异步复位同步...](https://blog.csdn.net/qq_42135020/article/details/127825909)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值