FPGA单比特信号的边沿检测:精准找到单比特信号的上升沿/下降沿(自用 仅供参考)

边沿检测主要作用是能够准确的识别出单比特信号的上升沿或下降沿,也就是我们希望当上升沿或下降沿来到时,能够产生一个唯一标识上升沿或下降沿的脉冲信号来告诉我们上升沿或下降沿来了,我们就可以根据这个脉冲信号作为后续电路功能的启动。

如图所示,对同一信号打一拍,在①位置处就可以检测到上升沿,使之拉高一个时钟的脉冲;在②位置处可以检测到下降沿,使之拉高一个时钟的脉冲。

经过相关逻辑运算可得到podge和nedge两个脉冲:(仅仅展示核心代码,配合上图一起看)

1.1与逻辑实现podge脉冲

always@(posedge sys_clk or negedge sys_rst_n)

        if(sys_rst_n == 1'b0) podge <= 1'b0;

        else if((data) && (~data_reg))  podge <= 1'b1;//核心逻辑

        else podge <= 1'b0;

1.2或逻辑实现podge脉冲

        else if((~data) || (data_reg)) podge <= 1'b0; //核心逻辑

        else podge <= 1'b1;

(1.1与1.2的核心逻辑互为德摩根定律)

2.1与逻辑实现nedge脉冲

        else if((~data) && (data_reg)) nedge <= 1'b1; //核心逻辑

        else nedge <= 1'b0;

2.2或逻辑实现nedge脉冲

        else if((data) || (~data_reg)) podge <= 1'b0;//核心逻辑

        else nedge <= 1'b1;

(2.1与2.2的核心逻辑互为德摩根定律)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值