【System Verilog and UVM基础入门21】get_type_name和get_full_name学习

本文介绍了System Verilog和UVM中的get_type_name和get_full_name函数,探讨了它们在调试中的作用。get_type_name用于获取当前类的名称,而get_full_name则返回类的完整路径。通过在UVM组件树中的应用,可以帮助理解组件的层级结构。作者鼓励读者进行讨论和学习,并提供邮箱lixingyi09@qq.com以分享相关文档。
摘要由CSDN通过智能技术生成

从小父亲就教育我,要做一个对社会有用的人!

virtual function string get_full_name ()

对于uvm_component,get_type_name()打印出来的就是当前类的名称!想想为什么,要在代码前面加上get_type_name()它呢?

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值