ZYNQ(三)通过PL端EMIO配置LED

本文详细介绍了如何在ZYNQ FPGA平台上通过PL端的EMIO配置LED。步骤包括创建Vivado工程,配置ZYNQ PS端的时钟和GPIO,将GPIO_0引脚设为EMIO_0,生成IP产品,创建顶层封装,编写约束文件,生成bit流,然后在SDK中创建应用,编写驱动代码并进行系统调试。
摘要由CSDN通过智能技术生成

(1)创建一个vivado工程
(2)open Block Design
(3)配置zynq ps端
根据自己的硬件类型配置好输入时钟频率与内存型号,并将PS端的时钟与PL端的时钟连接起来。以及配置4个EMIO GPIO
在这里插入图片描述
(4)右击 GPIO_0 引脚, 选择 make external 把 GPIO_0 引脚引出,并将GPIO_0 引脚修改为EMIO_0引脚
在这里插入图片描述
在这里插入图片描述
(5)生成IP的output products
(6)创建顶层封装 HDL wrapper

(7)创建约束文件,根据自己的板子上的原理图,编写.xdc文件

set_property PACKAGE_PIN AA14 [get_ports {emio_0_tri_io[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[0]}]

set_property PACKAGE_PIN W15 [get_ports {emio_0_tri_io[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[1]}]

set_property PACKAGE_PIN Y15 [get_ports {emio_0_tri_io[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[2]}]

set_property PACKAGE_PIN AB14 [get_ports {emio_0_tri_io[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[3]}]
set_property PACKAGE_PIN AB15 [get_ports {emio_0_tri_io[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[4]}]

set_property PACKAGE_PIN W16 [get_ports {emio_0_tri_io[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[5]}]

set_property PACKAGE_PIN Y16 [get_ports {emio_0_tri_io[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[6]}]

set_property PACKAGE_PIN U15 [get_ports {emio_0_tri_io[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {emio_0_tri_io[7]}]

(8)生成bit流文件
(9)export hardware
(10)launch SDK
(11)与前文相同,第一步创建应用,并选择非示例(empty application)
在这里插入图片描述
在这里插入图片描述
(12)由于上一步创建了新的bsp包,并自动生成了makefile,并且运行。
在这里插入图片描述
在这里插入图片描述
(13)创建main.c文件,编写驱动代码
在这里插入图片描述

#
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值